OpenCores
URL https://opencores.org/ocsvn/plb2wbbridge/plb2wbbridge/trunk

Subversion Repositories plb2wbbridge

[/] [plb2wbbridge/] [trunk/] [systems/] [test_system_sim/] [wb_irqs/] [system.make] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 feddischso
#################################################################
2
# Makefile generated by Xilinx Platform Studio
3
# Project:/home/christian/share/semesterproject/trunk/systems/test_system_sim/wb_irqs/system.xmp
4
#
5
# WARNING : This file will be re-generated every time a command
6
# to run a make target is invoked. So, any changes made to this
7
# file manually, will be lost when make is invoked next.
8
#################################################################
9
 
10
# Name of the Microprocessor system
11
# The hardware specification of the system is in file :
12
# /home/christian/share/semesterproject/trunk/systems/test_system_sim/wb_irqs/system.mhs
13
# The software specification of the system is in file :
14
# /home/christian/share/semesterproject/trunk/systems/test_system_sim/wb_irqs/system.mss
15
 
16
include system_incl.make
17
 
18
#################################################################
19
# PHONY TARGETS
20
#################################################################
21
.PHONY: dummy
22
.PHONY: netlistclean
23
.PHONY: bitsclean
24
.PHONY: simclean
25
.PHONY: exporttosdk
26
 
27
#################################################################
28
# EXTERNAL TARGETS
29
#################################################################
30
all:
31
        @echo "Makefile to build a Microprocessor system :"
32
        @echo "Run make with any of the following targets"
33
        @echo " "
34
        @echo "  netlist  : Generates the netlist for the given MHS "
35
        @echo "  bits     : Runs Implementation tools to generate the bitstream"
36
        @echo "  exporttosdk: Export files to SDK"
37
        @echo " "
38
        @echo "  libs     : Configures the sw libraries for this system"
39
        @echo "  program  : Compiles the program sources for all the processor instances"
40
        @echo " "
41
        @echo "  init_bram: Initializes bitstream with BRAM data"
42
        @echo "  ace      : Generate ace file from bitstream and elf"
43
        @echo "  download : Downloads the bitstream onto the board"
44
        @echo " "
45
        @echo "  sim      : Generates HDL simulation models and runs simulator for chosen simulation mode"
46
        @echo "  simmodel : Generates HDL simulation models for chosen simulation mode"
47
        @echo "  behavioral_model : Generates behavioral HDL models with BRAM initialization"
48
        @echo "  structural_model : Generates structural simulation HDL models with BRAM initialization"
49
        @echo "  timing   : Generates timing simulation HDL models with BRAM initialization"
50
        @echo " "
51
        @echo "  netlistclean: Deletes netlist"
52
        @echo "  bitsclean: Deletes bit, ncd, bmm files"
53
        @echo "  hwclean  : Deletes implementation dir"
54
        @echo "  libsclean: Deletes sw libraries"
55
        @echo "  programclean: Deletes compiled ELF files"
56
        @echo "  swclean  : Deletes sw libraries and ELF files"
57
        @echo "  simclean : Deletes simulation dir"
58
        @echo "  clean    : Deletes all generated files/directories"
59
        @echo " "
60
        @echo "  make  : (Default)"
61
        @echo "      Creates a Microprocessor system using default initializations"
62
        @echo "      specified for each processor in MSS file"
63
 
64
 
65
bits: $(SYSTEM_BIT)
66
 
67
ace: $(SYSTEM_ACE)
68
 
69
exporttosdk: $(SYSTEM_HW_HANDOFF_DEP)
70
 
71
netlist: $(POSTSYN_NETLIST)
72
 
73
libs: $(LIBRARIES)
74
 
75
program: $(ALL_USER_ELF_FILES)
76
        @echo "No user software applications specified"
77
 
78
download: $(DOWNLOAD_BIT) dummy
79
        @echo "*********************************************"
80
        @echo "Downloading Bitstream onto the target board"
81
        @echo "*********************************************"
82
        impact -batch etc/download.cmd
83
 
84
init_bram: $(DOWNLOAD_BIT)
85
 
86
sim: $(DEFAULT_SIM_SCRIPT)
87
        cd simulation/behavioral; \
88
        $(SIM_CMD)  &
89
 
90
simmodel: $(DEFAULT_SIM_SCRIPT)
91
 
92
behavioral_model: $(BEHAVIORAL_SIM_SCRIPT)
93
 
94
structural_model: $(STRUCTURAL_SIM_SCRIPT)
95
 
96
clean: hwclean swclean simclean
97
        rm -f _impact.cmd
98
 
99
hwclean: netlistclean bitsclean
100
        rm -rf implementation synthesis xst hdl
101
        rm -rf xst.srp $(SYSTEM).srp
102
        rm -f __xps/ise/_xmsgs/bitinit.xmsgs
103
 
104
netlistclean:
105
        rm -f $(POSTSYN_NETLIST)
106
        rm -f platgen.log
107
        rm -f __xps/ise/_xmsgs/platgen.xmsgs
108
        rm -f $(BMM_FILE)
109
 
110
bitsclean:
111
        rm -f $(SYSTEM_BIT)
112
        rm -f implementation/$(SYSTEM).ncd
113
        rm -f implementation/$(SYSTEM)_bd.bmm
114
        rm -f implementation/$(SYSTEM)_map.ncd
115
        rm -f __xps/$(SYSTEM)_routed
116
 
117
simclean:
118
        rm -rf simulation/behavioral
119
        rm -f simgen.log
120
        rm -f __xps/ise/_xmsgs/simgen.xmsgs
121
 
122
swclean: libsclean programclean
123
 
124
libsclean: $(LIBSCLEAN_TARGETS)
125
        rm -f libgen.log
126
        rm -f __xps/ise/_xmsgs/libgen.xmsgs
127
 
128
programclean: $(PROGRAMCLEAN_TARGETS)
129
 
130
#################################################################
131
# SOFTWARE PLATFORM FLOW
132
#################################################################
133
 
134
 
135
$(LIBRARIES): $(MHSFILE) $(MSSFILE) __xps/libgen.opt
136
        @echo "*********************************************"
137
        @echo "Creating software libraries..."
138
        @echo "*********************************************"
139
        libgen $(LIBGEN_OPTIONS) $(MSSFILE)
140
 
141
 
142
#################################################################
143
# BOOTLOOP ELF FILES
144
#################################################################
145
 
146
 
147
 
148
#################################################################
149
# HARDWARE IMPLEMENTATION FLOW
150
#################################################################
151
 
152
 
153
$(BMM_FILE) \
154
$(WRAPPER_NGC_FILES): $(MHSFILE) __xps/platgen.opt \
155
                      $(CORE_STATE_DEVELOPMENT_FILES)
156
        @echo "****************************************************"
157
        @echo "Creating system netlist for hardware specification.."
158
        @echo "****************************************************"
159
        platgen $(PLATGEN_OPTIONS) $(MHSFILE)
160
 
161
$(POSTSYN_NETLIST): $(WRAPPER_NGC_FILES)
162
        @echo "Running synthesis..."
163
        bash -c "cd synthesis; ./synthesis.sh"
164
 
165
__xps/$(SYSTEM)_routed: $(FPGA_IMP_DEPENDENCY)
166
        @echo "*********************************************"
167
        @echo "Running Xilinx Implementation tools.."
168
        @echo "*********************************************"
169
        @cp -f $(UCF_FILE) implementation/$(SYSTEM).ucf
170
        @cp -f etc/fast_runtime.opt implementation/xflow.opt
171
        xflow -wd implementation -p $(DEVICE) -implement xflow.opt -ise ../__xps/ise/$(SYSTEM).ise $(SYSTEM).ngc
172
        touch __xps/$(SYSTEM)_routed
173
 
174
$(SYSTEM_BIT): __xps/$(SYSTEM)_routed $(BITGEN_UT_FILE)
175
        xilperl $(NON_CYG_XILINX_EDK_DIR)/data/fpga_impl/observe_par.pl $(OBSERVE_PAR_OPTIONS) implementation/$(SYSTEM).par
176
        @echo "*********************************************"
177
        @echo "Running Bitgen.."
178
        @echo "*********************************************"
179
        @cp -f $(BITGEN_UT_FILE) implementation/bitgen.ut
180
        cd implementation; bitgen -w -f bitgen.ut $(SYSTEM); cd ..
181
 
182
$(DOWNLOAD_BIT): $(SYSTEM_BIT) $(BRAMINIT_ELF_FILES) __xps/bitinit.opt
183
        # @cp -f implementation/$(SYSTEM)_bd.bmm .
184
        @echo "*********************************************"
185
        @echo "Initializing BRAM contents of the bitstream"
186
        @echo "*********************************************"
187
        bitinit -p $(DEVICE) $(MHSFILE) $(SEARCHPATHOPT) $(BRAMINIT_ELF_FILE_ARGS) \
188
        -bt $(SYSTEM_BIT) -o $(DOWNLOAD_BIT)
189
        @rm -f $(SYSTEM)_bd.bmm
190
 
191
$(SYSTEM_ACE):
192
        @echo "In order to generate ace file, you must have:-"
193
        @echo "- exactly one processor."
194
        @echo "- opb_mdm, if using microblaze."
195
 
196
#################################################################
197
# EXPORT_TO_SDK FLOW
198
#################################################################
199
 
200
 
201
$(SYSTEM_HW_HANDOFF): $(MHSFILE) __xps/platgen.opt
202
        mkdir -p $(SDK_EXPORT_DIR)
203
        psf2Edward -inp $(SYSTEM).xmp -xml $(SDK_EXPORT_DIR)/$(SYSTEM).xml $(GLOBAL_SEARCHPATHOPT)
204
        xdsgen -inp $(SYSTEM).xmp -report $(SDK_EXPORT_DIR)/$(SYSTEM).html $(GLOBAL_SEARCHPATHOPT) -make_docs_local
205
 
206
$(SYSTEM_HW_HANDOFF_BIT): $(SYSTEM_BIT)
207
        @rm -rf $(SYSTEM_HW_HANDOFF_BIT)
208
        @cp -f $(SYSTEM_BIT) $(SDK_EXPORT_DIR)/
209
 
210
 
211
#################################################################
212
# SIMULATION FLOW
213
#################################################################
214
 
215
 
216
################## BEHAVIORAL SIMULATION ##################
217
 
218
$(BEHAVIORAL_SIM_SCRIPT): $(MHSFILE) __xps/simgen.opt \
219
                          $(BRAMINIT_ELF_FILES)
220
        @echo "*********************************************"
221
        @echo "Creating behavioral simulation models..."
222
        @echo "*********************************************"
223
        simgen $(SIMGEN_OPTIONS) -m behavioral $(MHSFILE)
224
 
225
################## STRUCTURAL SIMULATION ##################
226
 
227
$(STRUCTURAL_SIM_SCRIPT): $(WRAPPER_NGC_FILES) __xps/simgen.opt \
228
                          $(BRAMINIT_ELF_FILES)
229
        @echo "*********************************************"
230
        @echo "Creating structural simulation models..."
231
        @echo "*********************************************"
232
        simgen $(SIMGEN_OPTIONS) -sd implementation -m structural $(MHSFILE)
233
 
234
 
235
################## TIMING SIMULATION ##################
236
 
237
implementation/$(SYSTEM).ncd: __xps/$(SYSTEM)_routed
238
 
239
$(TIMING_SIM_SCRIPT): implementation/$(SYSTEM).ncd __xps/simgen.opt \
240
                      $(BRAMINIT_ELF_FILES)
241
        @echo "*********************************************"
242
        @echo "Creating timing simulation models..."
243
        @echo "*********************************************"
244
        simgen $(SIMGEN_OPTIONS) -sd implementation -m timing $(MHSFILE)
245
 
246
dummy:
247
        @echo ""
248
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.