OpenCores
URL https://opencores.org/ocsvn/priority_encoder/priority_encoder/trunk

Subversion Repositories priority_encoder

[/] [priority_encoder/] [trunk/] [testbench.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 UA3MQJ
`timescale 1us / 100 ps
2
 
3
module testbench();
4
 
5
parameter WIDTH=128;
6
 
7
reg [(WIDTH-1):0] in_vect;
8
wire [(WIDTH-1):0] in_data;
9
assign in_data = in_vect;
10
 
11
wire [6:0] out_data;
12
 
13
wire [(WIDTH-1):0] in_data_wbs; //with bit scan
14
 
15
bitscan #(.WIDTH(128)) bs0(.in(in_data), .out(in_data_wbs));
16
prio_encoder #(.LINES(128)) pe0(.in(in_data), .out(out_data));
17
 
18
integer i;
19
 
20
initial
21
begin
22
    $dumpfile("bench.vcd");
23
    $dumpvars(0,testbench);
24
 
25
    $display("starting testbench!!!!");
26
 
27
        in_vect <= 128'd0;
28
                #10;
29
        in_vect <= 128'd1;
30
        i <= 1;
31
        repeat (WIDTH) begin
32
                #10;
33
                in_vect <= in_vect << 1;
34
                i <= i + 1;
35
                //$display("i=", i, i % 4);
36
 
37
 
38
        end
39
 
40
    $display("finished OK!");
41
end
42
 
43
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.