OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

[/] [product_code_iterative_decoder/] [trunk/] [bench/] [modelsim_bench.vhdl] - Blame information for rev 18

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 arif_endro
-- ------------------------------------------------------------------------
2 14 arif_endro
-- Copyright (C) 2005 Arif Endro Nugroho
3 18 arif_endro
-- All rights reserved.
4 2 arif_endro
-- 
5 18 arif_endro
-- Redistribution and use in source and binary forms, with or without
6
-- modification, are permitted provided that the following conditions
7
-- are met:
8 2 arif_endro
-- 
9 18 arif_endro
-- 1. Redistributions of source code must retain the above copyright
10
--    notice, this list of conditions and the following disclaimer.
11
-- 2. Redistributions in binary form must reproduce the above copyright
12
--    notice, this list of conditions and the following disclaimer in the
13
--    documentation and/or other materials provided with the distribution.
14 2 arif_endro
-- 
15 18 arif_endro
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
16
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
17
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
19
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
21
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
22
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
23
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
24
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25
-- POSSIBILITY OF SUCH DAMAGE.
26 2 arif_endro
-- 
27 18 arif_endro
-- End Of License.
28
-- ------------------------------------------------------------------------
29 2 arif_endro
 
30
library ieee;
31
use ieee.std_logic_1164.all;
32
use ieee.std_logic_arith.all;
33
use ieee.std_logic_unsigned.all;
34
 
35
entity modelsim_bench is
36
   port (
37
      y0d : out bit;
38
      y1d : out bit;
39
      y2d : out bit;
40
      y3d : out bit
41
      );
42
end modelsim_bench;
43
 
44
architecture structural of modelsim_bench is
45
 
46
   component product_code
47
      port (
48
         clock : in  bit;
49
         start : in  bit;
50
         rxin  : in  bit_vector (07 downto 00);
51
         y0d   : out bit;
52
         y1d   : out bit;
53
         y2d   : out bit;
54
         y3d   : out bit
55
         );
56
   end component;
57
 
58
   component input
59
      port (
60
         clock : out bit;
61
         start : out bit;
62
         rxin  : out bit_vector (07 downto 00)
63
         );
64
   end component;
65
 
66
   component output
67
      port (
68
         start : in bit;
69
         y0    : in bit;
70
         y1    : in bit;
71
         y2    : in bit;
72
         y3    : in bit
73
         );
74
   end component;
75
 
76
   signal clock : bit;
77
   signal start : bit;
78
   signal y0    : bit;
79
   signal y1    : bit;
80
   signal y2    : bit;
81
   signal y3    : bit;
82
   signal rxin  : bit_vector (07 downto 00);
83
 
84
   begin
85
 
86
   y0d <= y0;
87
   y1d <= y1;
88
   y2d <= y2;
89
   y3d <= y3;
90
 
91
   my_product_code : product_code
92
      port map (
93
         clock  => clock,
94
         start  => start,
95
         rxin   => rxin,
96
         y0d    => y0,
97
         y1d    => y1,
98
         y2d    => y2,
99
         y3d    => y3
100
         );
101
 
102
   my_input : input
103
      port map (
104
         clock => clock,
105
         start => start,
106
         rxin  => rxin
107
         );
108
 
109
   my_output : output
110
      port map (
111
         start => start,
112
         y0    => y0,
113
         y1    => y1,
114
         y2    => y2,
115
         y3    => y3
116
         );
117
 
118
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.