OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_stream_lib/] [src/] [recursive_axis_catenate.sv] - Blame information for rev 51

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 36 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2017 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
 
29
module
30
  recursive_axis_catenate
31
  #(
32
    N, // data bus width in bytes
33
    I = 1, // TID width
34
    D = 1, // TDEST width
35
    U = 1, // TUSER width
36
    U_IS_EOP = -1,
37 51 qaztronic
    MN // number of inputs to mux
38 36 qaztronic
  )
39
  (
40 51 qaztronic
    axis_if axis_in[MN-1:0],
41
    axis_if axis_out,
42
    input   aclk,
43
    input   aresetn
44 36 qaztronic
  );
45 51 qaztronic
// --------------------------------------------------------------------
46
// synthesis translate_off
47
  initial begin
48
    assert(MN > 0) else $fatal;
49
  end
50
// synthesis translate_on
51
// --------------------------------------------------------------------
52 36 qaztronic
 
53
  // --------------------------------------------------------------------
54 51 qaztronic
  localparam MA = $clog2(MN);    // mux select width
55
  localparam MH = 2 ** (MA - 1); // half of ceil(number of inputs)
56
  localparam MR = MN - MH;       // upper remainder
57
 
58
  // --------------------------------------------------------------------
59 36 qaztronic
  generate
60 51 qaztronic
    if(MN == 1) begin : one
61
      axis_alias axis_alias_i(axis_in[0], axis_out);
62
    end
63
    else if(MN == 2) begin : two
64 36 qaztronic
      axis_catenate #(.N(N), .I(I), .D(D), .U(U), .U_IS_EOP(U_IS_EOP))
65
        axis_catenate_i(.*);
66
    end
67 51 qaztronic
    else begin: recurse
68 36 qaztronic
      axis_if #(.N(N), .I(I), .D(D), .U(U)) axis_catenate_out[1:0](.*);
69
 
70
      recursive_axis_catenate
71 51 qaztronic
        #( .N(N)
72
        ,  .I(I)
73
        ,  .D(D)
74
        ,  .U(U)
75
        ,  .U_IS_EOP(U_IS_EOP)
76
        ,  .MN(MH)
77 36 qaztronic
        )
78
        catenate_lo
79 51 qaztronic
        ( .axis_in(axis_in[MH-1:0]),
80 36 qaztronic
          .axis_out(axis_catenate_out[0]),
81 51 qaztronic
          .* );
82 36 qaztronic
 
83 51 qaztronic
      if(MR == 1) begin : one
84
        axis_alias axis_alias_i(axis_in[MH], axis_catenate_out[1]);
85
      end
86
      else if(MR == 2) begin : two
87
        axis_catenate #(.N(N), .I(I), .D(D), .U(U), .U_IS_EOP(U_IS_EOP))
88
          axis_catenate_i
89
          ( .axis_in(axis_in[MH+1:MH]),
90
            .axis_out(axis_catenate_out[1]),
91
            .* );
92
      end
93
      else begin : more
94
        recursive_axis_catenate
95
          #( .N(N)
96
          ,  .I(I)
97
          ,  .D(D)
98
          ,  .U(U)
99
          ,  .U_IS_EOP(U_IS_EOP)
100
          ,  .MN(MR)
101
          )
102
          catenate_hi
103
          ( .axis_in(axis_in[MN-1:MN-MR]),
104
            .axis_out(axis_catenate_out[1]),
105
            .* );
106
      end
107 36 qaztronic
 
108 51 qaztronic
      axis_catenate #(.N(N), .I(I), .D(D), .U(U), .U_IS_EOP(U_IS_EOP))
109 36 qaztronic
        axis_catenate_i(.axis_in(axis_catenate_out), .*);
110
    end
111
  endgenerate
112
 
113
// --------------------------------------------------------------------
114
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.