OpenCores
URL https://opencores.org/ocsvn/reed_solomon_decoder/reed_solomon_decoder/trunk

Subversion Repositories reed_solomon_decoder

[/] [reed_solomon_decoder/] [trunk/] [synthesis/] [altera/] [RS_dec.sdc] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vk.semicon
#**************************************************************
2
# Time Information
3
#**************************************************************
4
 
5
set_time_format -unit ns -decimal_places 3
6
 
7
 
8
 
9
#**************************************************************
10
# Create Clock
11
#**************************************************************
12
 
13
create_clock -name {clk} -period 6.250 -waveform { 0.000 3.125 } [get_ports {clk}]
14
 
15
 
16
#**************************************************************
17
# Create Generated Clock
18
#**************************************************************
19
 
20
 
21
 
22
#**************************************************************
23
# Set Clock Latency
24
#**************************************************************
25
 
26
 
27
 
28
#**************************************************************
29
# Set Clock Uncertainty
30
#**************************************************************
31
 
32
set_clock_uncertainty -rise_from [get_clocks {clk}] -rise_to [get_clocks {clk}] -setup 0.272
33
set_clock_uncertainty -rise_from [get_clocks {clk}] -fall_to [get_clocks {clk}] -setup 0.272
34
set_clock_uncertainty -fall_from [get_clocks {clk}] -rise_to [get_clocks {clk}] -setup 0.272
35
set_clock_uncertainty -fall_from [get_clocks {clk}] -fall_to [get_clocks {clk}] -setup 0.272
36
 
37
 
38
#**************************************************************
39
# Set Input Delay
40
#**************************************************************
41
 
42
set_input_delay -add_delay -max -clock [get_clocks {clk}]  1.781 [all_inputs]
43
set_input_delay -add_delay -min -clock [get_clocks {clk}]  0.000 [all_inputs]
44
 
45
 
46
#**************************************************************
47
# Set Output Delay
48
#**************************************************************
49
 
50
set_output_delay -add_delay -max -clock [get_clocks {clk}]  0.332 [all_outputs]
51
set_output_delay -add_delay -min -clock [get_clocks {clk}]  0.000 [all_outputs]
52
 
53
 
54
#**************************************************************
55
# Set Clock Groups
56
#**************************************************************
57
 
58
 
59
 
60
#**************************************************************
61
# Set False Path
62
#**************************************************************
63
 
64
set_false_path -from [get_ports {reset}] -to [get_registers {*}]
65
 
66
 
67
#**************************************************************
68
# Set Multicycle Path
69
#**************************************************************
70
 
71
 
72
 
73
#**************************************************************
74
# Set Maximum Delay
75
#**************************************************************
76
 
77
 
78
 
79
#**************************************************************
80
# Set Minimum Delay
81
#**************************************************************
82
 
83
 
84
 
85
#**************************************************************
86
# Set Input Transition
87
#**************************************************************
88
 
89
 
90
 
91
#**************************************************************
92
# Set Load
93
#**************************************************************
94
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.