OpenCores
URL https://opencores.org/ocsvn/riscompatible/riscompatible/trunk

Subversion Repositories riscompatible

[/] [riscompatible/] [trunk/] [rtl/] [data_sel.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 borin
-------------------------------------------------------------------------------------------------------------------
2
library ieee;
3
use ieee.std_logic_1164.all;
4
use work.riscompatible_package.all;
5
-------------------------------------------------------------------------------------------------------------------
6
entity data_sel is
7
    port
8
    (
9
        DMEM_OutputData_I : in TRiscoWord;
10
        GPIO_OutputData_I : in TRiscoWord;
11
        OutputData_Vld_I  : in std_logic;
12
        MSPC_OutputData_O : out TRiscoWord
13
    );
14
end data_sel;
15
-------------------------------------------------------------------------------------------------------------------
16
architecture ark1 of data_sel is
17
 
18
begin
19
    MSPC_OutputData_O <= DMEM_OutputData_I when OutputData_Vld_I = '0' else
20
                         GPIO_OutputData_I;
21
end ark1;
22
-------------------------------------------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.