OpenCores
URL https://opencores.org/ocsvn/rtf8088/rtf8088/trunk

Subversion Repositories rtf8088

[/] [rtf8088/] [trunk/] [rtl/] [verilog/] [rtf8088sys.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 robfinch
 
2
module rtf8088sys();
3
 
4
reg rst;
5
reg sys_clk;
6
wire cpu_mio;
7
wire cpu_cyc;
8
wire cpu_stb;
9
wire cpu_ack;
10
wire cpu_we;
11
wire [19:0] cpu_adr;
12
wire [7:0] cpu_dato;
13
reg [7:0] cpu_dati;
14
wire stkmem_ack;
15
wire [7:0] stkmem_o;
16
wire [7:0] bootromo;
17
wire br_acko;
18
wire mem_ack;
19
wire [7:0] memo;
20
 
21
initial begin
22
        rst = 1'b0;
23
        sys_clk = 1'b0;
24
        #100 rst = 1'b1;
25
        #100 rst = 1'b0;
26
end
27
 
28
always #10 sys_clk = ~sys_clk;
29
 
30
reg [7:0] mem [0:65535];
31
wire csmem = cpu_cyc && cpu_stb && cpu_adr[19:16]==4'h0;
32
always @(posedge sys_clk)
33
        if (csmem & cpu_we) begin
34
                $display("wrote mem[%h]=%h", cpu_adr,cpu_dato);
35
                mem[cpu_adr[15:0]] <= cpu_dato;
36
        end
37
assign mem_ack = csmem;
38
assign memo = csmem ? mem[cpu_adr[15:0]] : 8'h00;
39
 
40
bootrom u3
41
(
42
        .cyc(cpu_cyc),
43
        .stb(cpu_stb),
44
        .adr(cpu_adr),
45
        .o(bootromo),
46
        .acko(br_acko)
47
);
48
 
49
stkmem u2
50
(
51
        .clk_i(sys_clk),
52
        .cyc_i(cpu_cyc),
53
        .stb_i(cpu_stb),
54
        .ack_o(stkmem_ack),
55
        .we_i(cpu_we),
56
        .adr_i(cpu_adr),
57
        .dat_i(cpu_dato),
58
        .dat_o(stkmem_o)
59
);
60
 
61
always @(stkmem_o or bootromo or memo)
62
        cpu_dati = stkmem_o|bootromo|memo;
63
assign cpu_ack = stkmem_ack|br_acko|mem_ack;
64
 
65
rtf8088 u1
66
(
67
        .rst_i(rst),
68
        .clk_i(sys_clk),
69
        .nmi_i(1'b0),
70
        .irq_i(1'b0),
71
        .busy_i(1'b0),
72
        .inta_o(),
73
        .lock_o(),
74
        .mio_o(cpu_mio),
75
        .cyc_o(cpu_cyc),
76
        .stb_o(cpu_stb),
77
        .ack_i(cpu_ack),
78
        .we_o(cpu_we),
79
        .adr_o(cpu_adr),
80
        .dat_o(cpu_dato),
81
        .dat_i(cpu_dati)
82
);
83
 
84
endmodule
85
 
86
 
87
module stkmem(clk_i, cyc_i, stb_i, ack_o, we_i, adr_i, dat_i, dat_o);
88
input clk_i;
89
input cyc_i;
90
input stb_i;
91
output ack_o;
92
input we_i;
93
input [19:0] adr_i;
94
input [7:0] dat_i;
95
output [7:0] dat_o;
96
 
97
reg [10:0] rra;
98
reg [7:0] mem [2047:0];
99
wire cs = cyc_i && stb_i && adr_i[19:11]==9'h003;
100
assign ack_o = cs;
101
 
102
always @(negedge clk_i)
103
        rra <= adr_i[10:0];
104
 
105
always @(negedge clk_i)
106
        if (cs & we_i)
107
                mem[adr_i[10:0]] <= dat_i;
108
 
109
assign dat_o = cs ? mem[rra] : 8'h00;
110
 
111
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.