OpenCores
URL https://opencores.org/ocsvn/rv01_riscv_core/rv01_riscv_core/trunk

Subversion Repositories rv01_riscv_core

[/] [rv01_riscv_core/] [trunk/] [SYN/] [XILINX/] [RV01_selftest_vivado.tcl] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 madsilicon
#*****************************************************************************************
2
# Vivado (TM) v2017.3 (64-bit)
3
#
4
# RV01_selftest_vivado.tcl: Tcl script for re-creating project 'RV01_artix_vivado_1'
5
#
6
# Generated by Vivado on Sat Dec 16 22:58:49 +0100 2017
7
# IP Build 2016188 on Wed Oct  4 21:52:56 MDT 2017
8
#
9
# This file contains the Vivado Tcl commands for re-creating the project to the state*
10
# when this script was generated. In order to re-create the project, please source this
11
# file in the Vivado Tcl Shell.
12
#
13
# * Note that the runs in the created project will be configured the same way as the
14
#   original project, however they will not be launched automatically. To regenerate the
15
#   run results please launch the synthesis/implementation runs as needed.
16
#
17
#*****************************************************************************************
18
# NOTE: In order to use this script for source control purposes, please make sure that the
19
#       following files are added to the source control system:-
20
#
21
# 1. This project restoration tcl script (RV01_selftest_vivado.tcl) that was generated.
22
#
23
# 2. The following source(s) files that were local or imported into the original project.
24
#    (Please see the '$orig_proj_dir' and '$origin_dir' variable setting below at the start of the script)
25
#
26
#    <none>
27
#
28
# 3. The following remote source files that were added to the original project:-
29
#
30
#    "C:/RISCV/SVN/VHDL/RV01_consts_pkg.vhd"
31
#    "C:/RISCV/SVN/VHDL/RV01_types_pkg.vhd"
32
#    "C:/RISCV/SVN/VHDL/RV01_funcs_pkg.vhd"
33
#    "C:/RISCV/SVN/VHDL/RV01_arith_pkg.vhd"
34
#    "C:/RISCV/SVN/VHDL/RV01_op_pkg.vhd"
35
#    "C:/RISCV/SVN/VHDL/RV01_bht.vhd"
36
#    "C:/RISCV/SVN/VHDL/RV01_bjxlog.vhd"
37
#    "C:/RISCV/SVN/VHDL/RV01_bjxlog_bv.vhd"
38
#    "C:/RISCV/SVN/VHDL/RV01_bpu.vhd"
39
#    "C:/RISCV/SVN/VHDL/RV01_csr_pkg.vhd"
40
#    "C:/RISCV/SVN/VHDL/RV01_idec_pkg.vhd"
41
#    "C:/RISCV/SVN/VHDL/RV01_cdcomux.vhd"
42
#    "C:/RISCV/SVN/VHDL/RV01_plic_pkg.vhd"
43
#    "C:/RISCV/SVN/VHDL/SELF_TEST/RV01_cfg_dhrystone_sodor_st_pkg.vhd"
44
#    "C:/RISCV/SVN/VHDL/RV01_comp32.vhd"
45
#    "C:/RISCV/SVN/VHDL/RV01_cpu_2w_p6.vhd"
46
#    "C:/RISCV/SVN/VHDL/RV01_cpu_init.vhd"
47
#    "C:/RISCV/SVN/VHDL/RV01_csru.vhd"
48
#    "C:/RISCV/SVN/VHDL/RV01_dbglog_ix2.vhd"
49
#    "C:/RISCV/SVN/VHDL/RV01_dimslog.vhd"
50
#    "C:/RISCV/SVN/VHDL/RV01_div_funcs_pkg.vhd"
51
#    "C:/RISCV/SVN/VHDL/RV01_divider_r2.vhd"
52
#    "C:/RISCV/SVN/VHDL/RV01_divlog.vhd"
53
#    "C:/RISCV/SVN/VHDL/RV01_excplog_ix1.vhd"
54
#    "C:/RISCV/SVN/VHDL/RV01_excplog_ix2.vhd"
55
#    "C:/RISCV/SVN/VHDL/RV01_excplog_ix3.vhd"
56
#    "C:/RISCV/SVN/VHDL/RV01_ftchlog_1w.vhd"
57
#    "C:/RISCV/SVN/VHDL/RV01_ftchlog_2w.vhd"
58
#    "C:/RISCV/SVN/VHDL/RV01_fwdlog_2w_p6.vhd"
59
#    "C:/RISCV/SVN/VHDL/RV01_hltlog_ix2.vhd"
60
#    "C:/RISCV/SVN/VHDL/RV01_idec.vhd"
61
#    "C:/RISCV/SVN/VHDL/RV01_ifq.vhd"
62
#    "C:/RISCV/SVN/VHDL/RV01_isslog.vhd"
63
#    "C:/RISCV/SVN/VHDL/RV01_jrpu.vhd"
64
#    "C:/RISCV/SVN/VHDL/RV01_logicu.vhd"
65
#    "C:/RISCV/SVN/VHDL/RV01_lsu.vhd"
66
#    "C:/RISCV/SVN/VHDL/RV01_lzdu.vhd"
67
#    "C:/RISCV/SVN/VHDL/RV01_misclog_ix3.vhd"
68
#    "C:/RISCV/SVN/VHDL/RV01_mulu.vhd"
69
#    "C:/RISCV/SVN/VHDL/RV01_pipe_a.vhd"
70
#    "C:/RISCV/SVN/VHDL/RV01_pipe_b.vhd"
71
#    "C:/RISCV/SVN/VHDL/RV01_pstllog_2w_p6.vhd"
72
#    "C:/RISCV/SVN/VHDL/RV01_pxlog.vhd"
73
#    "C:/RISCV/SVN/VHDL/RV01_queue.vhd"
74
#    "C:/RISCV/SVN/VHDL/RV01_rams.vhd"
75
#    "C:/RISCV/SVN/VHDL/RV01_regfile_32x32_2w.vhd"
76
#    "C:/RISCV/SVN/VHDL/RV01_resmux_ix1.vhd"
77
#    "C:/RISCV/SVN/VHDL/RV01_resmux_ix2.vhd"
78
#    "C:/RISCV/SVN/VHDL/RV01_resmux_ix3.vhd"
79
#    "C:/RISCV/SVN/VHDL/RV01_sbuf_2w.vhd"
80
#    "C:/RISCV/SVN/VHDL/SELF_TEST/RV01_selftest.vhd"
81
#    "C:/RISCV/SVN/VHDL/SELF_TEST/RV01_selftest_syn.vhd"
82
#    "C:/RISCV/SVN/VHDL/RV01_shftu.vhd"
83
#    "C:/RISCV/SVN/VHDL/RV01_stack.vhd"
84
#    "C:/RISCV/SVN/VHDL/RV01_top.vhd"
85
#    "C:/RISCV/SVN/VHDL/RV01_top_nohost.vhd"
86
#    "C:/RISCV/SVN/VHDL/SELF_TEST/dhrystone_sodor_st_rom.vhd"
87
#    "C:/RISCV/SVN/VHDL/RV01_hltu.vhd"
88
#    "C:/RISCV/SVN/VHDL/RV01_adder_f.vhd"
89
#    "C:/RISCV/SVN/VHDL/RV01_pipe_a_rmx_x2.vhd"
90
#    "C:/RISCV/SYN/XILINX/RV01_artix.xdc"
91
#    "C:/RISCV/SVN/VHDL/SELF_TEST/RV01_selftest_TB.vhd"
92
#
93
#*****************************************************************************************
94
 
95
# Set the reference directory for source file relative paths (by default the value is script directory path)
96
set origin_dir "."
97
 
98
# Use origin directory path location variable, if specified in the tcl shell
99
if { [info exists ::origin_dir_loc] } {
100
  set origin_dir $::origin_dir_loc
101
}
102
 
103
# Set the project name
104
set project_name "riscv_artix_vivado_1"
105
 
106
# Use project name variable, if specified in the tcl shell
107
if { [info exists ::user_project_name] } {
108
  set project_name $::user_project_name
109
}
110
 
111
variable script_file
112
set script_file "RV01_selftest_vivado.tcl"
113
 
114
# Help information for this script
115
proc help {} {
116
  variable script_file
117
  puts "\nDescription:"
118
  puts "Recreate a Vivado project from this script. The created project will be"
119
  puts "functionally equivalent to the original project for which this script was"
120
  puts "generated. The script contains commands for creating a project, filesets,"
121
  puts "runs, adding/importing sources and setting properties on various objects.\n"
122
  puts "Syntax:"
123
  puts "$script_file"
124
  puts "$script_file -tclargs \[--origin_dir <path>\]"
125
  puts "$script_file -tclargs \[--project_name <name>\]"
126
  puts "$script_file -tclargs \[--help\]\n"
127
  puts "Usage:"
128
  puts "Name                   Description"
129
  puts "-------------------------------------------------------------------------"
130
  puts "\[--origin_dir <path>\]  Determine source file paths wrt this path. Default"
131
  puts "                       origin_dir path value is \".\", otherwise, the value"
132
  puts "                       that was set with the \"-paths_relative_to\" switch"
133
  puts "                       when this script was generated.\n"
134
  puts "\[--project_name <name>\] Create project with the specified name. Default"
135
  puts "                       name is the name of the project from where this"
136
  puts "                       script was generated.\n"
137
  puts "\[--help\]               Print help information for this script"
138
  puts "-------------------------------------------------------------------------\n"
139
  exit 0
140
}
141
 
142
if { $::argc > 0 } {
143
  for {set i 0} {$i < [llength $::argc]} {incr i} {
144
    set option [string trim [lindex $::argv $i]]
145
    switch -regexp -- $option {
146
      "--origin_dir"   { incr i; set origin_dir [lindex $::argv $i] }
147
      "--project_name" { incr i; set project_name [lindex $::argv $i] }
148
      "--help"         { help }
149
      default {
150
        if { [regexp {^-} $option] } {
151
          puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n"
152
          return 1
153
        }
154
      }
155
    }
156
  }
157
}
158
 
159
# Set the directory path for the original project from where this script was exported
160
set orig_proj_dir "[file normalize "$origin_dir/../../SYN/SYN_TEST/riscv_artix_vivado_1"]"
161
 
162
# Create project
163
create_project ${project_name} ./${project_name} -part xc7a100tcsg324-3 -force
164
 
165
# Set the directory path for the new project
166
set proj_dir [get_property directory [current_project]]
167
 
168
# Reconstruct message rules
169
# None
170
 
171
# Set project properties
172
set obj [current_project]
173
set_property -name "default_lib" -value "xil_defaultlib" -objects $obj
174
set_property -name "ip_cache_permissions" -value "read write" -objects $obj
175
set_property -name "ip_output_repo" -value "$proj_dir/${project_name}.cache/ip" -objects $obj
176
set_property -name "part" -value "xc7a100tcsg324-3" -objects $obj
177
set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj
178
set_property -name "simulator_language" -value "VHDL" -objects $obj
179
set_property -name "source_mgmt_mode" -value "DisplayOnly" -objects $obj
180
set_property -name "target_language" -value "VHDL" -objects $obj
181
 
182
# Create 'sources_1' fileset (if not found)
183
if {[string equal [get_filesets -quiet sources_1] ""]} {
184
  create_fileset -srcset sources_1
185
}
186
 
187
# Set 'sources_1' fileset object
188
set obj [get_filesets sources_1]
189
set files [list \
190
 "[file normalize "$origin_dir/../RV01_consts_pkg.vhd"]"\
191
 "[file normalize "$origin_dir/../RV01_types_pkg.vhd"]"\
192
 "[file normalize "$origin_dir/../RV01_funcs_pkg.vhd"]"\
193
 "[file normalize "$origin_dir/../RV01_arith_pkg.vhd"]"\
194
 "[file normalize "$origin_dir/../RV01_op_pkg.vhd"]"\
195
 "[file normalize "$origin_dir/../RV01_bht.vhd"]"\
196
 "[file normalize "$origin_dir/../RV01_bjxlog.vhd"]"\
197
 "[file normalize "$origin_dir/../RV01_bjxlog_bv.vhd"]"\
198
 "[file normalize "$origin_dir/../RV01_bpu.vhd"]"\
199
 "[file normalize "$origin_dir/../RV01_csr_pkg.vhd"]"\
200
 "[file normalize "$origin_dir/../RV01_idec_pkg.vhd"]"\
201
 "[file normalize "$origin_dir/../RV01_cdcomux.vhd"]"\
202
 "[file normalize "$origin_dir/../RV01_plic_pkg.vhd"]"\
203
 "[file normalize "$origin_dir/RV01_cfg_dhrystone_sodor_st_pkg.vhd"]"\
204
 "[file normalize "$origin_dir/../RV01_comp32.vhd"]"\
205
 "[file normalize "$origin_dir/../RV01_cpu_2w_p6.vhd"]"\
206
 "[file normalize "$origin_dir/../RV01_cpu_init.vhd"]"\
207
 "[file normalize "$origin_dir/../RV01_csru.vhd"]"\
208
 "[file normalize "$origin_dir/../RV01_dbglog_ix2.vhd"]"\
209
 "[file normalize "$origin_dir/../RV01_dimslog.vhd"]"\
210
 "[file normalize "$origin_dir/../RV01_div_funcs_pkg.vhd"]"\
211
 "[file normalize "$origin_dir/../RV01_divider_r2.vhd"]"\
212
 "[file normalize "$origin_dir/../RV01_divlog.vhd"]"\
213
 "[file normalize "$origin_dir/../RV01_excplog_ix1.vhd"]"\
214
 "[file normalize "$origin_dir/../RV01_excplog_ix2.vhd"]"\
215
 "[file normalize "$origin_dir/../RV01_excplog_ix3.vhd"]"\
216
 "[file normalize "$origin_dir/../RV01_ftchlog_1w.vhd"]"\
217
 "[file normalize "$origin_dir/../RV01_ftchlog_2w.vhd"]"\
218
 "[file normalize "$origin_dir/../RV01_fwdlog_2w_p6.vhd"]"\
219
 "[file normalize "$origin_dir/../RV01_hltlog_ix2.vhd"]"\
220
 "[file normalize "$origin_dir/../RV01_idec.vhd"]"\
221
 "[file normalize "$origin_dir/../RV01_ifq.vhd"]"\
222
 "[file normalize "$origin_dir/../RV01_isslog.vhd"]"\
223
 "[file normalize "$origin_dir/../RV01_jrpu.vhd"]"\
224
 "[file normalize "$origin_dir/../RV01_logicu.vhd"]"\
225
 "[file normalize "$origin_dir/../RV01_lsu.vhd"]"\
226
 "[file normalize "$origin_dir/../RV01_lzdu.vhd"]"\
227
 "[file normalize "$origin_dir/../RV01_misclog_ix3.vhd"]"\
228
 "[file normalize "$origin_dir/../RV01_mulu.vhd"]"\
229
 "[file normalize "$origin_dir/../RV01_pipe_a.vhd"]"\
230
 "[file normalize "$origin_dir/../RV01_pipe_b.vhd"]"\
231
 "[file normalize "$origin_dir/../RV01_pstllog_2w_p6.vhd"]"\
232
 "[file normalize "$origin_dir/../RV01_pxlog.vhd"]"\
233
 "[file normalize "$origin_dir/../RV01_queue.vhd"]"\
234
 "[file normalize "$origin_dir/../RV01_rams.vhd"]"\
235
 "[file normalize "$origin_dir/../RV01_regfile_32x32_2w.vhd"]"\
236
 "[file normalize "$origin_dir/../RV01_resmux_ix1.vhd"]"\
237
 "[file normalize "$origin_dir/../RV01_resmux_ix2.vhd"]"\
238
 "[file normalize "$origin_dir/../RV01_resmux_ix3.vhd"]"\
239
 "[file normalize "$origin_dir/../RV01_sbuf_2w.vhd"]"\
240
 "[file normalize "$origin_dir/RV01_selftest.vhd"]"\
241
 "[file normalize "$origin_dir/RV01_selftest_syn.vhd"]"\
242
 "[file normalize "$origin_dir/../RV01_shftu.vhd"]"\
243
 "[file normalize "$origin_dir/../RV01_stack.vhd"]"\
244
 "[file normalize "$origin_dir/../RV01_top.vhd"]"\
245
 "[file normalize "$origin_dir/../RV01_top_nohost.vhd"]"\
246
 "[file normalize "$origin_dir/dhrystone_sodor_st_rom.vhd"]"\
247
 "[file normalize "$origin_dir/../RV01_hltu.vhd"]"\
248
 "[file normalize "$origin_dir/../RV01_adder_f.vhd"]"\
249
 "[file normalize "$origin_dir/../RV01_pipe_a_rmx_x2.vhd"]"\
250
]
251
add_files -norecurse -fileset $obj $files
252
 
253
# Set 'sources_1' fileset file properties for remote files
254
set file "$origin_dir/../RV01_consts_pkg.vhd"
255
set file [file normalize $file]
256
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
257
set_property -name "file_type" -value "VHDL" -objects $file_obj
258
 
259
set file "$origin_dir/../RV01_types_pkg.vhd"
260
set file [file normalize $file]
261
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
262
set_property -name "file_type" -value "VHDL" -objects $file_obj
263
 
264
set file "$origin_dir/../RV01_funcs_pkg.vhd"
265
set file [file normalize $file]
266
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
267
set_property -name "file_type" -value "VHDL" -objects $file_obj
268
 
269
set file "$origin_dir/../RV01_arith_pkg.vhd"
270
set file [file normalize $file]
271
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
272
set_property -name "file_type" -value "VHDL" -objects $file_obj
273
 
274
set file "$origin_dir/../RV01_op_pkg.vhd"
275
set file [file normalize $file]
276
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
277
set_property -name "file_type" -value "VHDL" -objects $file_obj
278
 
279
set file "$origin_dir/../RV01_bht.vhd"
280
set file [file normalize $file]
281
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
282
set_property -name "file_type" -value "VHDL" -objects $file_obj
283
 
284
set file "$origin_dir/../RV01_bjxlog.vhd"
285
set file [file normalize $file]
286
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
287
set_property -name "file_type" -value "VHDL" -objects $file_obj
288
 
289
set file "$origin_dir/../RV01_bjxlog_bv.vhd"
290
set file [file normalize $file]
291
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
292
set_property -name "file_type" -value "VHDL" -objects $file_obj
293
 
294
set file "$origin_dir/../RV01_bpu.vhd"
295
set file [file normalize $file]
296
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
297
set_property -name "file_type" -value "VHDL" -objects $file_obj
298
 
299
set file "$origin_dir/../RV01_csr_pkg.vhd"
300
set file [file normalize $file]
301
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
302
set_property -name "file_type" -value "VHDL" -objects $file_obj
303
 
304
set file "$origin_dir/../RV01_idec_pkg.vhd"
305
set file [file normalize $file]
306
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
307
set_property -name "file_type" -value "VHDL" -objects $file_obj
308
 
309
set file "$origin_dir/../RV01_cdcomux.vhd"
310
set file [file normalize $file]
311
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
312
set_property -name "file_type" -value "VHDL" -objects $file_obj
313
 
314
set file "$origin_dir/../RV01_plic_pkg.vhd"
315
set file [file normalize $file]
316
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
317
set_property -name "file_type" -value "VHDL" -objects $file_obj
318
 
319
set file "$origin_dir/RV01_cfg_dhrystone_sodor_st_pkg.vhd"
320
set file [file normalize $file]
321
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
322
set_property -name "file_type" -value "VHDL" -objects $file_obj
323
 
324
set file "$origin_dir/../RV01_comp32.vhd"
325
set file [file normalize $file]
326
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
327
set_property -name "file_type" -value "VHDL" -objects $file_obj
328
 
329
set file "$origin_dir/../RV01_cpu_2w_p6.vhd"
330
set file [file normalize $file]
331
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
332
set_property -name "file_type" -value "VHDL" -objects $file_obj
333
 
334
set file "$origin_dir/../RV01_cpu_init.vhd"
335
set file [file normalize $file]
336
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
337
set_property -name "file_type" -value "VHDL" -objects $file_obj
338
 
339
set file "$origin_dir/../RV01_csru.vhd"
340
set file [file normalize $file]
341
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
342
set_property -name "file_type" -value "VHDL" -objects $file_obj
343
 
344
set file "$origin_dir/../RV01_dbglog_ix2.vhd"
345
set file [file normalize $file]
346
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
347
set_property -name "file_type" -value "VHDL" -objects $file_obj
348
 
349
set file "$origin_dir/../RV01_dimslog.vhd"
350
set file [file normalize $file]
351
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
352
set_property -name "file_type" -value "VHDL" -objects $file_obj
353
 
354
set file "$origin_dir/../RV01_div_funcs_pkg.vhd"
355
set file [file normalize $file]
356
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
357
set_property -name "file_type" -value "VHDL" -objects $file_obj
358
 
359
set file "$origin_dir/../RV01_divider_r2.vhd"
360
set file [file normalize $file]
361
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
362
set_property -name "file_type" -value "VHDL" -objects $file_obj
363
 
364
set file "$origin_dir/../RV01_divlog.vhd"
365
set file [file normalize $file]
366
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
367
set_property -name "file_type" -value "VHDL" -objects $file_obj
368
 
369
set file "$origin_dir/../RV01_excplog_ix1.vhd"
370
set file [file normalize $file]
371
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
372
set_property -name "file_type" -value "VHDL" -objects $file_obj
373
 
374
set file "$origin_dir/../RV01_excplog_ix2.vhd"
375
set file [file normalize $file]
376
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
377
set_property -name "file_type" -value "VHDL" -objects $file_obj
378
 
379
set file "$origin_dir/../RV01_excplog_ix3.vhd"
380
set file [file normalize $file]
381
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
382
set_property -name "file_type" -value "VHDL" -objects $file_obj
383
 
384
set file "$origin_dir/../RV01_ftchlog_1w.vhd"
385
set file [file normalize $file]
386
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
387
set_property -name "file_type" -value "VHDL" -objects $file_obj
388
 
389
set file "$origin_dir/../RV01_ftchlog_2w.vhd"
390
set file [file normalize $file]
391
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
392
set_property -name "file_type" -value "VHDL" -objects $file_obj
393
 
394
set file "$origin_dir/../RV01_fwdlog_2w_p6.vhd"
395
set file [file normalize $file]
396
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
397
set_property -name "file_type" -value "VHDL" -objects $file_obj
398
 
399
set file "$origin_dir/../RV01_hltlog_ix2.vhd"
400
set file [file normalize $file]
401
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
402
set_property -name "file_type" -value "VHDL" -objects $file_obj
403
 
404
set file "$origin_dir/../RV01_idec.vhd"
405
set file [file normalize $file]
406
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
407
set_property -name "file_type" -value "VHDL" -objects $file_obj
408
 
409
set file "$origin_dir/../RV01_ifq.vhd"
410
set file [file normalize $file]
411
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
412
set_property -name "file_type" -value "VHDL" -objects $file_obj
413
 
414
set file "$origin_dir/../RV01_isslog.vhd"
415
set file [file normalize $file]
416
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
417
set_property -name "file_type" -value "VHDL" -objects $file_obj
418
 
419
set file "$origin_dir/../RV01_jrpu.vhd"
420
set file [file normalize $file]
421
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
422
set_property -name "file_type" -value "VHDL" -objects $file_obj
423
 
424
set file "$origin_dir/../RV01_logicu.vhd"
425
set file [file normalize $file]
426
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
427
set_property -name "file_type" -value "VHDL" -objects $file_obj
428
 
429
set file "$origin_dir/../RV01_lsu.vhd"
430
set file [file normalize $file]
431
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
432
set_property -name "file_type" -value "VHDL" -objects $file_obj
433
 
434
set file "$origin_dir/../RV01_lzdu.vhd"
435
set file [file normalize $file]
436
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
437
set_property -name "file_type" -value "VHDL" -objects $file_obj
438
 
439
set file "$origin_dir/../RV01_misclog_ix3.vhd"
440
set file [file normalize $file]
441
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
442
set_property -name "file_type" -value "VHDL" -objects $file_obj
443
 
444
set file "$origin_dir/../RV01_mulu.vhd"
445
set file [file normalize $file]
446
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
447
set_property -name "file_type" -value "VHDL" -objects $file_obj
448
 
449
set file "$origin_dir/../RV01_pipe_a.vhd"
450
set file [file normalize $file]
451
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
452
set_property -name "file_type" -value "VHDL" -objects $file_obj
453
 
454
set file "$origin_dir/../RV01_pipe_b.vhd"
455
set file [file normalize $file]
456
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
457
set_property -name "file_type" -value "VHDL" -objects $file_obj
458
 
459
set file "$origin_dir/../RV01_pstllog_2w_p6.vhd"
460
set file [file normalize $file]
461
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
462
set_property -name "file_type" -value "VHDL" -objects $file_obj
463
 
464
set file "$origin_dir/../RV01_pxlog.vhd"
465
set file [file normalize $file]
466
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
467
set_property -name "file_type" -value "VHDL" -objects $file_obj
468
 
469
set file "$origin_dir/../RV01_queue.vhd"
470
set file [file normalize $file]
471
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
472
set_property -name "file_type" -value "VHDL" -objects $file_obj
473
 
474
set file "$origin_dir/../RV01_rams.vhd"
475
set file [file normalize $file]
476
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
477
set_property -name "file_type" -value "VHDL" -objects $file_obj
478
 
479
set file "$origin_dir/../RV01_regfile_32x32_2w.vhd"
480
set file [file normalize $file]
481
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
482
set_property -name "file_type" -value "VHDL" -objects $file_obj
483
 
484
set file "$origin_dir/../RV01_resmux_ix1.vhd"
485
set file [file normalize $file]
486
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
487
set_property -name "file_type" -value "VHDL" -objects $file_obj
488
 
489
set file "$origin_dir/../RV01_resmux_ix2.vhd"
490
set file [file normalize $file]
491
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
492
set_property -name "file_type" -value "VHDL" -objects $file_obj
493
 
494
set file "$origin_dir/../RV01_resmux_ix3.vhd"
495
set file [file normalize $file]
496
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
497
set_property -name "file_type" -value "VHDL" -objects $file_obj
498
 
499
set file "$origin_dir/../RV01_sbuf_2w.vhd"
500
set file [file normalize $file]
501
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
502
set_property -name "file_type" -value "VHDL" -objects $file_obj
503
 
504
set file "$origin_dir/RV01_selftest.vhd"
505
set file [file normalize $file]
506
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
507
set_property -name "file_type" -value "VHDL" -objects $file_obj
508
 
509
set file "$origin_dir/RV01_selftest_syn.vhd"
510
set file [file normalize $file]
511
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
512
set_property -name "file_type" -value "VHDL" -objects $file_obj
513
set_property -name "used_in" -value "synthesis" -objects $file_obj
514
set_property -name "used_in_simulation" -value "0" -objects $file_obj
515
 
516
set file "$origin_dir/../RV01_shftu.vhd"
517
set file [file normalize $file]
518
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
519
set_property -name "file_type" -value "VHDL" -objects $file_obj
520
 
521
set file "$origin_dir/../RV01_stack.vhd"
522
set file [file normalize $file]
523
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
524
set_property -name "file_type" -value "VHDL" -objects $file_obj
525
 
526
set file "$origin_dir/../RV01_top.vhd"
527
set file [file normalize $file]
528
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
529
set_property -name "file_type" -value "VHDL" -objects $file_obj
530
 
531
set file "$origin_dir/../RV01_top_nohost.vhd"
532
set file [file normalize $file]
533
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
534
set_property -name "file_type" -value "VHDL" -objects $file_obj
535
 
536
set file "$origin_dir/dhrystone_sodor_st_rom.vhd"
537
set file [file normalize $file]
538
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
539
set_property -name "file_type" -value "VHDL" -objects $file_obj
540
 
541
set file "$origin_dir/../RV01_hltu.vhd"
542
set file [file normalize $file]
543
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
544
set_property -name "file_type" -value "VHDL" -objects $file_obj
545
 
546
set file "$origin_dir/../RV01_adder_f.vhd"
547
set file [file normalize $file]
548
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
549
set_property -name "file_type" -value "VHDL" -objects $file_obj
550
 
551
set file "$origin_dir/../RV01_pipe_a_rmx_x2.vhd"
552
set file [file normalize $file]
553
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
554
set_property -name "file_type" -value "VHDL" -objects $file_obj
555
 
556
 
557
# Set 'sources_1' fileset file properties for local files
558
# None
559
 
560
# Set 'sources_1' fileset properties
561
set obj [get_filesets sources_1]
562
set_property -name "top" -value "RV01_SELFTEST_SYN" -objects $obj
563
 
564
# Create 'constrs_1' fileset (if not found)
565
if {[string equal [get_filesets -quiet constrs_1] ""]} {
566
  create_fileset -constrset constrs_1
567
}
568
 
569
# Set 'constrs_1' fileset object
570
set obj [get_filesets constrs_1]
571
 
572
# Add/Import constrs file and set constrs file properties
573
set file "[file normalize "$origin_dir/../../SYN/XILINX/RV01_artix.xdc"]"
574
set file_added [add_files -norecurse -fileset $obj $file]
575
set file "$origin_dir/../../SYN/XILINX/RV01_artix.xdc"
576
set file [file normalize $file]
577
set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]]
578
set_property -name "file_type" -value "XDC" -objects $file_obj
579
 
580
# Set 'constrs_1' fileset properties
581
set obj [get_filesets constrs_1]
582
 
583
# Create 'sim_1' fileset (if not found)
584
if {[string equal [get_filesets -quiet sim_1] ""]} {
585
  create_fileset -simset sim_1
586
}
587
 
588
# Set 'sim_1' fileset object
589
set obj [get_filesets sim_1]
590
set files [list \
591
 "[file normalize "$origin_dir/RV01_selftest_TB.vhd"]"\
592
]
593
add_files -norecurse -fileset $obj $files
594
 
595
# Set 'sim_1' fileset file properties for remote files
596
set file "$origin_dir/RV01_selftest_TB.vhd"
597
set file [file normalize $file]
598
set file_obj [get_files -of_objects [get_filesets sim_1] [list "*$file"]]
599
set_property -name "file_type" -value "VHDL" -objects $file_obj
600
set_property -name "used_in" -value "simulation" -objects $file_obj
601
set_property -name "used_in_synthesis" -value "0" -objects $file_obj
602
 
603
 
604
# Set 'sim_1' fileset file properties for local files
605
# None
606
 
607
# Set 'sim_1' fileset properties
608
set obj [get_filesets sim_1]
609
set_property -name "top" -value "RV01_SELFTEST_TB" -objects $obj
610
 
611
# Create 'synth_1' run (if not found)
612
if {[string equal [get_runs -quiet synth_1] ""]} {
613
  create_run -name synth_1 -part xc7a100tcsg324-3 -flow {Vivado Synthesis 2017} -strategy "Vivado Synthesis Defaults" -constrset constrs_1
614
} else {
615
  set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1]
616
  set_property flow "Vivado Synthesis 2017" [get_runs synth_1]
617
}
618
set obj [get_runs synth_1]
619
set_property -name "needs_refresh" -value "1" -objects $obj
620
set_property -name "part" -value "xc7a100tcsg324-3" -objects $obj
621
set_property -name "report_strategy" -value "Vivado Synthesis Default Reports" -objects $obj
622
set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj
623
 
624
set reports [get_report_configs -of_objects $obj]
625
if { [llength $reports ] > 0 } {
626
  delete_report_config [get_report_configs -of_objects $obj]
627
}
628
# Create 'synth_1_synth_report_utilization_0' report (if not found)
629
if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } {
630
  create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1
631
}
632
set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0]
633
if { $obj != "" } {
634
 
635
}
636
# set the current synth run
637
current_run -synthesis [get_runs synth_1]
638
 
639
# Create 'impl_1' run (if not found)
640
if {[string equal [get_runs -quiet impl_1] ""]} {
641
  create_run -name impl_1 -part xc7a100tcsg324-3 -flow {Vivado Implementation 2017} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1
642
} else {
643
  set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
644
  set_property flow "Vivado Implementation 2017" [get_runs impl_1]
645
}
646
set obj [get_runs impl_1]
647
set_property -name "needs_refresh" -value "1" -objects $obj
648
set_property -name "part" -value "xc7a100tcsg324-3" -objects $obj
649
set_property -name "report_strategy" -value "Vivado Implementation Default Reports" -objects $obj
650
set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj
651
set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj
652
set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj
653
 
654
set reports [get_report_configs -of_objects $obj]
655
if { [llength $reports ] > 0 } {
656
  delete_report_config [get_report_configs -of_objects $obj]
657
}
658
# Create 'impl_1_init_report_timing_summary_0' report (if not found)
659
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } {
660
  create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1
661
}
662
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0]
663
if { $obj != "" } {
664
set_property -name "is_enabled" -value "0" -objects $obj
665
 
666
}
667
# Create 'impl_1_opt_report_drc_0' report (if not found)
668
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } {
669
  create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1
670
}
671
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0]
672
if { $obj != "" } {
673
 
674
}
675
# Create 'impl_1_opt_report_timing_summary_0' report (if not found)
676
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } {
677
  create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1
678
}
679
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0]
680
if { $obj != "" } {
681
set_property -name "is_enabled" -value "0" -objects $obj
682
 
683
}
684
# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found)
685
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } {
686
  create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1
687
}
688
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0]
689
if { $obj != "" } {
690
set_property -name "is_enabled" -value "0" -objects $obj
691
 
692
}
693
# Create 'impl_1_place_report_io_0' report (if not found)
694
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } {
695
  create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1
696
}
697
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0]
698
if { $obj != "" } {
699
 
700
}
701
# Create 'impl_1_place_report_utilization_0' report (if not found)
702
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } {
703
  create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1
704
}
705
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0]
706
if { $obj != "" } {
707
 
708
}
709
# Create 'impl_1_place_report_control_sets_0' report (if not found)
710
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } {
711
  create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1
712
}
713
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0]
714
if { $obj != "" } {
715
 
716
}
717
# Create 'impl_1_place_report_incremental_reuse_0' report (if not found)
718
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } {
719
  create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
720
}
721
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0]
722
if { $obj != "" } {
723
set_property -name "is_enabled" -value "0" -objects $obj
724
 
725
}
726
# Create 'impl_1_place_report_incremental_reuse_1' report (if not found)
727
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } {
728
  create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
729
}
730
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1]
731
if { $obj != "" } {
732
set_property -name "is_enabled" -value "0" -objects $obj
733
 
734
}
735
# Create 'impl_1_place_report_timing_summary_0' report (if not found)
736
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } {
737
  create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1
738
}
739
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0]
740
if { $obj != "" } {
741
set_property -name "is_enabled" -value "0" -objects $obj
742
 
743
}
744
# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found)
745
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } {
746
  create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1
747
}
748
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0]
749
if { $obj != "" } {
750
set_property -name "is_enabled" -value "0" -objects $obj
751
 
752
}
753
# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found)
754
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } {
755
  create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1
756
}
757
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0]
758
if { $obj != "" } {
759
set_property -name "is_enabled" -value "0" -objects $obj
760
 
761
}
762
# Create 'impl_1_route_report_drc_0' report (if not found)
763
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } {
764
  create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1
765
}
766
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0]
767
if { $obj != "" } {
768
 
769
}
770
# Create 'impl_1_route_report_methodology_0' report (if not found)
771
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } {
772
  create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1
773
}
774
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0]
775
if { $obj != "" } {
776
 
777
}
778
# Create 'impl_1_route_report_power_0' report (if not found)
779
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } {
780
  create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1
781
}
782
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0]
783
if { $obj != "" } {
784
 
785
}
786
# Create 'impl_1_route_report_route_status_0' report (if not found)
787
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } {
788
  create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1
789
}
790
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0]
791
if { $obj != "" } {
792
 
793
}
794
# Create 'impl_1_route_report_timing_summary_0' report (if not found)
795
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } {
796
  create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1
797
}
798
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0]
799
if { $obj != "" } {
800
 
801
}
802
# Create 'impl_1_route_report_incremental_reuse_0' report (if not found)
803
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } {
804
  create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1
805
}
806
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0]
807
if { $obj != "" } {
808
 
809
}
810
# Create 'impl_1_route_report_clock_utilization_0' report (if not found)
811
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } {
812
  create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1
813
}
814
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0]
815
if { $obj != "" } {
816
 
817
}
818
# Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found)
819
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } {
820
  create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1
821
}
822
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0]
823
if { $obj != "" } {
824
 
825
}
826
# set the current impl run
827
current_run -implementation [get_runs impl_1]
828
 
829
puts "INFO: Project created:$project_name"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.