OpenCores
URL https://opencores.org/ocsvn/sc2v/sc2v/trunk

Subversion Repositories sc2v

[/] [sc2v/] [trunk/] [bin/] [sc2v.sh] - Blame information for rev 39

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jcastillo
#!/bin/sh
2
#
3
# script to perform 2 steps of sc2v for input module
4
# and remove temporary files
5
#
6
# usage: sc2v <filename_without_extension>
7
#
8 39 vasiliev
bindir=`dirname $0`
9
$bindir/sc2v_step1 < $1.cpp
10
$bindir/sc2v_step2 < $1.h > $1.sc2v
11
$bindir/sc2v_step3 < $1.sc2v > $1.v
12 2 jcastillo
\rm *.sc2v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.