OpenCores
URL https://opencores.org/ocsvn/sdram_controller/sdram_controller/trunk

Subversion Repositories sdram_controller

[/] [sdram_controller/] [trunk/] [boards/] [StarterKit500E/] [scratch.ucf] - Blame information for rev 21

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 lynn0p
# clocking stuff
2
NET "clk"  IOSTANDARD = LVCMOS33 | LOC = "C9";
3
 
4
NET "rst"  IOSTANDARD = LVTTL | LOC = "H13" | PULLDOWN;
5
NET "clke" IOSTANDARD = LVTTL | LOC = "L13" | PULLUP;
6
 
7
# led pinouts
8
NET "led<7>" IOSTANDARD = LVTTL | LOC = "F9"  | SLEW = SLOW | DRIVE = 8;
9
NET "led<6>" IOSTANDARD = LVTTL | LOC = "E9"  | SLEW = SLOW | DRIVE = 8;
10
NET "led<5>" IOSTANDARD = LVTTL | LOC = "D11" | SLEW = SLOW | DRIVE = 8;
11
NET "led<4>" IOSTANDARD = LVTTL | LOC = "C11" | SLEW = SLOW | DRIVE = 8;
12
NET "led<3>" IOSTANDARD = LVTTL | LOC = "F11" | SLEW = SLOW | DRIVE = 8;
13
NET "led<2>" IOSTANDARD = LVTTL | LOC = "E11" | SLEW = SLOW | DRIVE = 8;
14
NET "led<1>" IOSTANDARD = LVTTL | LOC = "E12" | SLEW = SLOW | DRIVE = 8;
15
NET "led<0>" IOSTANDARD = LVTTL | LOC = "F12" | SLEW = SLOW | DRIVE = 8;
16
 
17
 
18
#
19
# sdram pinouts
20
#
21
#  address lines
22
NET "dram_addr<12>" LOC = "P2" |IOSTANDARD = SSTL2_I;
23
NET "dram_addr<11>" LOC = "N5" |IOSTANDARD = SSTL2_I;
24
NET "dram_addr<10>" LOC = "T2" |IOSTANDARD = SSTL2_I;
25
NET "dram_addr<9>"  LOC = "N4" |IOSTANDARD = SSTL2_I;
26
NET "dram_addr<8>"  LOC = "H2" |IOSTANDARD = SSTL2_I;
27
NET "dram_addr<7>"  LOC = "H1" |IOSTANDARD = SSTL2_I;
28
NET "dram_addr<6>"  LOC = "H3" |IOSTANDARD = SSTL2_I;
29
NET "dram_addr<5>"  LOC = "H4" |IOSTANDARD = SSTL2_I;
30
NET "dram_addr<4>"  LOC = "F4" |IOSTANDARD = SSTL2_I;
31
NET "dram_addr<3>"  LOC = "P1" |IOSTANDARD = SSTL2_I;
32
NET "dram_addr<2>"  LOC = "R2" |IOSTANDARD = SSTL2_I;
33
NET "dram_addr<1>"  LOC = "R3" |IOSTANDARD = SSTL2_I;
34
NET "dram_addr<0>"  LOC = "T1" |IOSTANDARD = SSTL2_I;
35
 
36
#  data lines
37
NET "dram_dq<15>" LOC = "H5" |IOSTANDARD = SSTL2_I;
38
NET "dram_dq<14>" LOC = "H6" |IOSTANDARD = SSTL2_I;
39
NET "dram_dq<13>" LOC = "G5" |IOSTANDARD = SSTL2_I;
40
NET "dram_dq<12>" LOC = "G6" |IOSTANDARD = SSTL2_I;
41
NET "dram_dq<11>" LOC = "F2" |IOSTANDARD = SSTL2_I;
42
NET "dram_dq<10>" LOC = "F1" |IOSTANDARD = SSTL2_I;
43
NET "dram_dq<9>"  LOC = "E1" |IOSTANDARD = SSTL2_I;
44
NET "dram_dq<8>"  LOC = "E2" |IOSTANDARD = SSTL2_I;
45
NET "dram_dq<7>"  LOC = "M6" |IOSTANDARD = SSTL2_I;
46
NET "dram_dq<6>"  LOC = "M5" |IOSTANDARD = SSTL2_I;
47
NET "dram_dq<5>"  LOC = "M4" |IOSTANDARD = SSTL2_I;
48
NET "dram_dq<4>"  LOC = "M3" |IOSTANDARD = SSTL2_I;
49
NET "dram_dq<3>"  LOC = "L4" |IOSTANDARD = SSTL2_I;
50
NET "dram_dq<2>"  LOC = "L3" |IOSTANDARD = SSTL2_I;
51
NET "dram_dq<1>"  LOC = "L1" |IOSTANDARD = SSTL2_I;
52
NET "dram_dq<0>"  LOC = "L2" |IOSTANDARD = SSTL2_I;
53
 
54
#  bank lines
55
NET "dram_bank<0>" LOC = "K5" |IOSTANDARD = SSTL2_I;
56
NET "dram_bank<1>" LOC = "K6" |IOSTANDARD = SSTL2_I;
57
 
58
#  command lines
59
NET "dram_cs"     LOC = "K4" |IOSTANDARD = SSTL2_I; #cs_n
60
NET "dram_cmd<0>" LOC = "C1" |IOSTANDARD = SSTL2_I; #ras_n
61
NET "dram_cmd<1>" LOC = "C2" |IOSTANDARD = SSTL2_I; #cas_n
62
NET "dram_cmd<2>" LOC = "D1" |IOSTANDARD = SSTL2_I; #we_n
63
#  clocks
64
NET "dram_clkn"   LOC = "J4" |IOSTANDARD = SSTL2_I;
65
NET "dram_clkp"   LOC = "J5" |IOSTANDARD = SSTL2_I;
66
NET "dram_clke"   LOC = "K3" |IOSTANDARD = SSTL2_I;
67
 
68
#  U/D data masks and data strobes
69
NET "dram_dm<1>"  LOC = "J1" |IOSTANDARD = SSTL2_I;
70
NET "dram_dm<0>"  LOC = "J2" |IOSTANDARD = SSTL2_I;
71
NET "dram_dqs<1>" LOC = "G3" |IOSTANDARD = SSTL2_I;
72
NET "dram_dqs<0>" LOC = "L6" |IOSTANDARD = SSTL2_I;
73
 
74
#  prohibited pins related to SDRAM
75
CONFIG PROHIBIT = D2;
76
CONFIG PROHIBIT = G4;
77
CONFIG PROHIBIT = J6;
78
CONFIG PROHIBIT = L5;
79
CONFIG PROHIBIT = R4;
80
 
81
#
82
#end sdram pinouts
83
#
84
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.