OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [common/] [opencores.org/] [cde/] [ip/] [fifo/] [sim/] [icarus/] [default/] [test_define] - Blame information for rev 135

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 134 jt_eaton
 
2
 
3
initial
4
begin
5
$display("              ");
6
$display("              ===================================================");
7
$display("              Test Start");
8
$display("              ===================================================");
9
$display("              ");
10 135 jt_eaton
test.PUSH = 0;
11
test.POP = 0;
12
test.Dout = 8'h00;
13
test.Din = 8'h00;
14
test.cg.next(10);
15
 
16
 
17
begin
18
fork
19
begin
20
test.PUSH = 1;
21
test.cg.next(1);
22
test.PUSH = 0;
23
test.Din = 8'h01;
24
test.cg.next(1);
25
test.PUSH = 1;
26
test.cg.next(1);
27
test.PUSH = 0;
28
test.Din = 8'h02;
29
test.cg.next(1);
30
test.PUSH = 1;
31
test.cg.next(1);
32
test.PUSH = 0;
33
test.Din = 8'h03;
34
test.cg.next(1);
35
test.PUSH = 1;
36
test.cg.next(1);
37
test.PUSH = 0;
38
test.Din = 8'h04;
39
test.cg.next(1);
40
test.PUSH = 1;
41
test.cg.next(1);
42
test.PUSH = 0;
43
test.Din = 8'h05;
44
test.cg.next(1);
45
test.PUSH = 1;
46
test.cg.next(1);
47
test.PUSH = 0;
48
test.Din = 8'h06;
49
test.cg.next(1);
50
test.PUSH = 1;
51
test.cg.next(1);
52
test.PUSH = 0;
53
test.Din = 8'h07;
54
test.cg.next(1);
55
test.PUSH = 1;
56
test.cg.next(1);
57
test.PUSH = 0;
58 134 jt_eaton
test.cg.next(20);
59
test.cg.next(4);
60
test.cg.next(4);
61
test.cg.next(4);
62
test.cg.next(4);
63
test.cg.next(4);
64
test.cg.next(4);
65
test.cg.next(1);
66
test.cg.next(4);
67 135 jt_eaton
end
68 134 jt_eaton
 
69 135 jt_eaton
 
70
begin
71
test.cg.next(5);
72
test.POP = 1;
73
test.Dout = 8'h00;
74
test.cg.next(1);
75
test.POP = 0;
76
test.Dout = 8'h01;
77
test.cg.next(1);
78
test.POP = 1;
79
test.cg.next(1);
80
test.POP = 0;
81
test.Dout = 8'h02;
82
test.cg.next(1);
83
test.POP = 1;
84
test.cg.next(1);
85
test.POP = 0;
86
test.Dout = 8'h03;
87
test.cg.next(4);
88
test.POP = 1;
89
test.cg.next(1);
90
test.POP = 0;
91
test.Dout = 8'h04;
92
test.cg.next(1);
93
test.POP = 1;
94
test.cg.next(1);
95
test.POP = 0;
96
test.Dout = 8'h05;
97
test.cg.next(1);
98
test.POP = 1;
99
test.cg.next(1);
100
test.POP = 0;
101
test.Dout = 8'h06;
102
test.cg.next(1);
103
test.POP = 1;
104
test.cg.next(1);
105
test.POP = 0;
106
test.Dout = 8'h07;
107
test.cg.next(10);
108
test.POP = 1;
109
test.cg.next(1);
110
test.POP = 0;
111
test.cg.next(10);
112
test.POP = 1;
113
test.cg.next(1);
114
test.POP = 0;
115
test.cg.next(10);
116
test.POP = 1;
117
test.cg.next(1);
118
test.POP = 0;
119
 
120
 
121
end
122
join
123
end
124
 
125 134 jt_eaton
test.cg.exit;
126
end
127
 
128
 
129
 
130
 
131
 
132
 
133
 
134
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.