OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [common/] [opencores.org/] [cde/] [ip/] [mult/] [rtl/] [verilog/] [top.ord_r4] - Blame information for rev 135

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 131 jt_eaton
 
2
   //
3
   // Internal wires and regs
4
   //
5
 
6
 
7
   reg [5:0]                            serial_mul_cnt;
8
   reg                                  mul_free;
9
 
10
 
11
   wire [WIDTH-1:0]                     x;
12
   wire [WIDTH-1:0]                     y;
13
   wire [2*WIDTH-1:0]                   z;
14
 
15
   wire ready;
16
   reg ex_freeze_r;
17
 
18
 
19
   always @( posedge clk)
20
     if (reset) ex_freeze_r <= 1'b1;
21
     else       ex_freeze_r <= ex_freeze;
22
 
23
 
24
   //
25
   // Combinatorial logic
26
   //
27
 
28
 
29
   assign x = a_in;
30
 
31
   assign y = b_in;
32
 
33
 
34 135 jt_eaton
`ifndef SYNTHESIS
35 131 jt_eaton
 
36
 
37
always@(posedge clk)
38
if((serial_mul_cnt == 6'b000000) && ex_freeze && ex_freeze_r)
39
begin
40
   $display("%t %m mul (%x,%x,%x);",$realtime,a_in,b_in,mul_prod_r );
41
end
42
 
43
 
44
 
45
 
46
`endif
47
 
48
 
49
 
50
 
51
 
52
 
53
   always @( posedge clk)
54
     if (reset) begin
55
        mul_prod_r <=  64'h0000_0000_0000_0000;
56
        serial_mul_cnt <= 6'd0;
57
        mul_free <= 1'b1;
58
 
59
     end
60
     else if (|serial_mul_cnt) begin
61
        serial_mul_cnt <= serial_mul_cnt - 6'd1;
62
        if (mul_prod_r[0])
63
          mul_prod_r[(WIDTH*2)-1:WIDTH-1] <= mul_prod_r[(WIDTH*2)-1:WIDTH] + x;
64
        else
65
          mul_prod_r[(WIDTH*2)-1:WIDTH-1] <= {1'b0,mul_prod_r[(WIDTH*2)-1: WIDTH]};
66
        mul_prod_r[WIDTH-2:0] <= mul_prod_r[WIDTH-1:1];
67
 
68
     end
69
     else if (alu_op_mul && mul_free) begin
70
        mul_prod_r <= {32'd0, y};
71
        mul_free <= 0;
72
        serial_mul_cnt <= 6'b10_0000;
73
     end
74
     else if (!ex_freeze | mul_free) begin
75
        mul_free <= 1'b1;
76
     end
77
 
78
   assign mul_stall = (|serial_mul_cnt) | (alu_op_mul & !ex_freeze_r);
79
 
80
 
81
 
82
 
83
 imult_ord_radix_4 #(.width(32)) m1
84
(
85
   .multiplicand(a_in),
86
   .multiplier(b_in),
87
   .clk(clk),
88
   .reset(reset),
89
   .start(ex_freeze && ~ex_freeze_r),
90
   .prod(z),
91
   .ready(ready)
92
);
93
 
94
 
95
 
96
 
97
 
98
 
99
 
100
 
101
 
102
 
103
 
104
 
105
 
106
 
107
 
108
 
109
 
110
 
111
 
112
 
113
 
114
 
115
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.