OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [common/] [opencores.org/] [cde/] [ip/] [sram/] [rtl/] [verilog/] [sram_dp] - Blame information for rev 135

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 134 jt_eaton
// Memory Array
2 135 jt_eaton
reg [WIDTH-1:0] mem [0:WORDS-1];
3 134 jt_eaton
// If used as Rom then load a memory image at startup
4
initial
5
  begin
6
  $display("SRAM dp  %m.mem");
7
  $display("  AddrBits=%d DataBits = %d  Words = %d  ",ADDR,WIDTH,WORDS);
8
  end
9
 
10
 
11
 
12
 
13
 
14
// Write function
15
always@(posedge clk)
16
        if( wr && cs ) mem[waddr[ADDR-1:0]] <= wdata[WIDTH-1:0];
17
 
18
 
19
  reg   [ADDR-1:0]          l_raddr;
20
  reg                       l_cycle;
21
 
22
  always@(posedge clk)
23
      begin
24
        l_raddr    <= raddr;
25
        l_cycle    <=  rd &&  cs ;
26
      end
27
 
28
 
29
generate
30
if( WRITETHRU)
31
  begin
32
  // Read function gets new data if also a write cycle
33
  // latch the read addr for next cycle
34
 
35
 
36
  // Read into a wire and then pass to rdata because some synth tools can't handle a memory in a always block
37
  wire  [WIDTH-1:0] tmp_rdata;
38
  assign          tmp_rdata  =      (l_cycle )?mem[{l_raddr[ADDR-1:0]}]:{WIDTH{1'b1}};
39
  always@(*)          rdata  =      tmp_rdata;
40
  end
41
else
42
  begin
43
  // Read function gets old data if also a write cycle
44
  always@(posedge clk)
45
        if( rd && cs ) rdata             <= mem[{raddr[ADDR-1:0]}];
46
        else           rdata             <= {WIDTH{1'b1}};
47
  end
48
endgenerate
49
 
50
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.