OpenCores
URL https://opencores.org/ocsvn/sockit_owm/sockit_owm/trunk

Subversion Repositories sockit_owm

[/] [sockit_owm/] [trunk/] [demo/] [Terasic_DE1/] [DE1_soc_nios2.qsf] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 iztok
# Copyright (C) 1991-2006 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions
3
# and other software and tools, and its AMPP partner logic
4
# functions, and any output files any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the Altera Program License
8
# Subscription Agreement, Altera MegaCore Function License
9
# Agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by Altera and sold by
12
# Altera or its authorized distributors.  Please refer to the
13
# applicable agreement for further details.
14
 
15
set_global_assignment -name TOP_LEVEL_ENTITY DE1_soc_nios2
16
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.1
17
set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:21:40  APRIL 17, 2010"
18 5 iztok
set_global_assignment -name LAST_QUARTUS_VERSION "10.1 SP1"
19 3 iztok
 
20
# Terasic DE1 board FPGA
21
set_global_assignment -name FAMILY "Cyclone II"
22
set_global_assignment -name DEVICE EP2C20F484C7
23
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7
24
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
25
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484
26
 
27
# pinout
28
set_location_assignment PIN_A13 -to GPIO_0[0]
29
set_location_assignment PIN_B13 -to GPIO_0[1]
30
set_location_assignment PIN_A14 -to GPIO_0[2]
31
set_location_assignment PIN_B14 -to GPIO_0[3]
32
set_location_assignment PIN_A15 -to GPIO_0[4]
33
set_location_assignment PIN_B15 -to GPIO_0[5]
34
set_location_assignment PIN_A16 -to GPIO_0[6]
35
set_location_assignment PIN_B16 -to GPIO_0[7]
36
set_location_assignment PIN_A17 -to GPIO_0[8]
37
set_location_assignment PIN_B17 -to GPIO_0[9]
38
set_location_assignment PIN_A18 -to GPIO_0[10]
39
set_location_assignment PIN_B18 -to GPIO_0[11]
40
set_location_assignment PIN_A19 -to GPIO_0[12]
41
set_location_assignment PIN_B19 -to GPIO_0[13]
42
set_location_assignment PIN_A20 -to GPIO_0[14]
43
set_location_assignment PIN_B20 -to GPIO_0[15]
44
set_location_assignment PIN_C21 -to GPIO_0[16]
45
set_location_assignment PIN_C22 -to GPIO_0[17]
46
set_location_assignment PIN_D21 -to GPIO_0[18]
47
set_location_assignment PIN_D22 -to GPIO_0[19]
48
set_location_assignment PIN_E21 -to GPIO_0[20]
49
set_location_assignment PIN_E22 -to GPIO_0[21]
50
set_location_assignment PIN_F21 -to GPIO_0[22]
51
set_location_assignment PIN_F22 -to GPIO_0[23]
52
set_location_assignment PIN_G21 -to GPIO_0[24]
53
set_location_assignment PIN_G22 -to GPIO_0[25]
54
set_location_assignment PIN_J21 -to GPIO_0[26]
55
set_location_assignment PIN_J22 -to GPIO_0[27]
56
set_location_assignment PIN_K21 -to GPIO_0[28]
57
set_location_assignment PIN_K22 -to GPIO_0[29]
58
set_location_assignment PIN_J19 -to GPIO_0[30]
59
set_location_assignment PIN_J20 -to GPIO_0[31]
60
set_location_assignment PIN_J18 -to GPIO_0[32]
61
set_location_assignment PIN_K20 -to GPIO_0[33]
62
set_location_assignment PIN_L19 -to GPIO_0[34]
63
set_location_assignment PIN_L18 -to GPIO_0[35]
64
set_location_assignment PIN_H12 -to GPIO_1[0]
65
set_location_assignment PIN_H13 -to GPIO_1[1]
66
set_location_assignment PIN_H14 -to GPIO_1[2]
67
set_location_assignment PIN_G15 -to GPIO_1[3]
68
set_location_assignment PIN_E14 -to GPIO_1[4]
69
set_location_assignment PIN_E15 -to GPIO_1[5]
70
set_location_assignment PIN_F15 -to GPIO_1[6]
71
set_location_assignment PIN_G16 -to GPIO_1[7]
72
set_location_assignment PIN_F12 -to GPIO_1[8]
73
set_location_assignment PIN_F13 -to GPIO_1[9]
74
set_location_assignment PIN_C14 -to GPIO_1[10]
75
set_location_assignment PIN_D14 -to GPIO_1[11]
76
set_location_assignment PIN_D15 -to GPIO_1[12]
77
set_location_assignment PIN_D16 -to GPIO_1[13]
78
set_location_assignment PIN_C17 -to GPIO_1[14]
79
set_location_assignment PIN_C18 -to GPIO_1[15]
80
set_location_assignment PIN_C19 -to GPIO_1[16]
81
set_location_assignment PIN_C20 -to GPIO_1[17]
82
set_location_assignment PIN_D19 -to GPIO_1[18]
83
set_location_assignment PIN_D20 -to GPIO_1[19]
84
set_location_assignment PIN_E20 -to GPIO_1[20]
85
set_location_assignment PIN_F20 -to GPIO_1[21]
86
set_location_assignment PIN_E19 -to GPIO_1[22]
87
set_location_assignment PIN_E18 -to GPIO_1[23]
88
set_location_assignment PIN_G20 -to GPIO_1[24]
89
set_location_assignment PIN_G18 -to GPIO_1[25]
90
set_location_assignment PIN_G17 -to GPIO_1[26]
91
set_location_assignment PIN_H17 -to GPIO_1[27]
92
set_location_assignment PIN_J15 -to GPIO_1[28]
93
set_location_assignment PIN_H18 -to GPIO_1[29]
94
set_location_assignment PIN_N22 -to GPIO_1[30]
95
set_location_assignment PIN_N21 -to GPIO_1[31]
96
set_location_assignment PIN_P15 -to GPIO_1[32]
97
set_location_assignment PIN_N15 -to GPIO_1[33]
98
set_location_assignment PIN_P17 -to GPIO_1[34]
99
set_location_assignment PIN_P18 -to GPIO_1[35]
100
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[0]
101
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[1]
102
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[2]
103
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[3]
104
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[4]
105
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[5]
106
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[6]
107
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[7]
108
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[8]
109
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[9]
110
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[10]
111
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[11]
112
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[12]
113
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[13]
114
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[14]
115
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[15]
116
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[16]
117
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[17]
118
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[18]
119
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[19]
120
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[20]
121
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[21]
122
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[22]
123
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[23]
124
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[24]
125
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[25]
126
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[26]
127
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[27]
128
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[28]
129
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[29]
130
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[30]
131
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[31]
132
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[32]
133
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[33]
134
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[34]
135
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[35]
136
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[0]
137
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[1]
138
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[2]
139
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[3]
140
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[4]
141
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[5]
142
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[6]
143
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[7]
144
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[8]
145
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[9]
146
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[10]
147
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[11]
148
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[12]
149
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[13]
150
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[14]
151
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[15]
152
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[16]
153
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[17]
154
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[18]
155
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[19]
156
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[20]
157
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[21]
158
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[22]
159
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[23]
160
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[24]
161
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[25]
162
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[26]
163
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[27]
164
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[28]
165
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[29]
166
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[30]
167
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[31]
168
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[32]
169
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[33]
170
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[34]
171
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[35]
172
set_location_assignment PIN_L22 -to SW[0]
173
set_location_assignment PIN_L21 -to SW[1]
174
set_location_assignment PIN_M22 -to SW[2]
175
set_location_assignment PIN_V12 -to SW[3]
176
set_location_assignment PIN_W12 -to SW[4]
177
set_location_assignment PIN_U12 -to SW[5]
178
set_location_assignment PIN_U11 -to SW[6]
179
set_location_assignment PIN_M2 -to SW[7]
180
set_location_assignment PIN_M1 -to SW[8]
181
set_location_assignment PIN_L2 -to SW[9]
182
set_instance_assignment -name IO_STANDARD LVTTL -to SW[0]
183
set_instance_assignment -name IO_STANDARD LVTTL -to SW[1]
184
set_instance_assignment -name IO_STANDARD LVTTL -to SW[2]
185
set_instance_assignment -name IO_STANDARD LVTTL -to SW[3]
186
set_instance_assignment -name IO_STANDARD LVTTL -to SW[4]
187
set_instance_assignment -name IO_STANDARD LVTTL -to SW[5]
188
set_instance_assignment -name IO_STANDARD LVTTL -to SW[6]
189
set_instance_assignment -name IO_STANDARD LVTTL -to SW[7]
190
set_instance_assignment -name IO_STANDARD LVTTL -to SW[8]
191
set_instance_assignment -name IO_STANDARD LVTTL -to SW[9]
192
set_location_assignment PIN_J2 -to HEX0[0]
193
set_location_assignment PIN_J1 -to HEX0[1]
194
set_location_assignment PIN_H2 -to HEX0[2]
195
set_location_assignment PIN_H1 -to HEX0[3]
196
set_location_assignment PIN_F2 -to HEX0[4]
197
set_location_assignment PIN_F1 -to HEX0[5]
198
set_location_assignment PIN_E2 -to HEX0[6]
199
set_location_assignment PIN_E1 -to HEX1[0]
200
set_location_assignment PIN_H6 -to HEX1[1]
201
set_location_assignment PIN_H5 -to HEX1[2]
202
set_location_assignment PIN_H4 -to HEX1[3]
203
set_location_assignment PIN_G3 -to HEX1[4]
204
set_location_assignment PIN_D2 -to HEX1[5]
205
set_location_assignment PIN_D1 -to HEX1[6]
206
set_location_assignment PIN_G5 -to HEX2[0]
207
set_location_assignment PIN_G6 -to HEX2[1]
208
set_location_assignment PIN_C2 -to HEX2[2]
209
set_location_assignment PIN_C1 -to HEX2[3]
210
set_location_assignment PIN_E3 -to HEX2[4]
211
set_location_assignment PIN_E4 -to HEX2[5]
212
set_location_assignment PIN_D3 -to HEX2[6]
213
set_location_assignment PIN_F4 -to HEX3[0]
214
set_location_assignment PIN_D5 -to HEX3[1]
215
set_location_assignment PIN_D6 -to HEX3[2]
216
set_location_assignment PIN_J4 -to HEX3[3]
217
set_location_assignment PIN_L8 -to HEX3[4]
218
set_location_assignment PIN_F3 -to HEX3[5]
219
set_location_assignment PIN_D4 -to HEX3[6]
220
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[0]
221
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[1]
222
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[2]
223
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[3]
224
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[4]
225
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[5]
226
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[6]
227
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[0]
228
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[1]
229
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[2]
230
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[3]
231
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[4]
232
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[5]
233
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[6]
234
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[0]
235
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[1]
236
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[2]
237
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[3]
238
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[4]
239
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[5]
240
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[6]
241
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[0]
242
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[1]
243
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[2]
244
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[3]
245
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[4]
246
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[5]
247
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[6]
248
set_location_assignment PIN_R22 -to KEY[0]
249
set_location_assignment PIN_R21 -to KEY[1]
250
set_location_assignment PIN_T22 -to KEY[2]
251
set_location_assignment PIN_T21 -to KEY[3]
252
set_location_assignment PIN_R20 -to LEDR[0]
253
set_location_assignment PIN_R19 -to LEDR[1]
254
set_location_assignment PIN_U19 -to LEDR[2]
255
set_location_assignment PIN_Y19 -to LEDR[3]
256
set_location_assignment PIN_T18 -to LEDR[4]
257
set_location_assignment PIN_V19 -to LEDR[5]
258
set_location_assignment PIN_Y18 -to LEDR[6]
259
set_location_assignment PIN_U18 -to LEDR[7]
260
set_location_assignment PIN_R18 -to LEDR[8]
261
set_location_assignment PIN_R17 -to LEDR[9]
262
set_location_assignment PIN_U22 -to LEDG[0]
263
set_location_assignment PIN_U21 -to LEDG[1]
264
set_location_assignment PIN_V22 -to LEDG[2]
265
set_location_assignment PIN_V21 -to LEDG[3]
266
set_location_assignment PIN_W22 -to LEDG[4]
267
set_location_assignment PIN_W21 -to LEDG[5]
268
set_location_assignment PIN_Y22 -to LEDG[6]
269
set_location_assignment PIN_Y21 -to LEDG[7]
270
set_instance_assignment -name IO_STANDARD LVTTL -to KEY[0]
271
set_instance_assignment -name IO_STANDARD LVTTL -to KEY[1]
272
set_instance_assignment -name IO_STANDARD LVTTL -to KEY[2]
273
set_instance_assignment -name IO_STANDARD LVTTL -to KEY[3]
274
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[0]
275
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[1]
276
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[2]
277
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[3]
278
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[4]
279
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[5]
280
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[6]
281
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[7]
282
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[8]
283
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[9]
284
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[0]
285
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[1]
286
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[2]
287
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[3]
288
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[4]
289
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[5]
290
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[6]
291
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[7]
292
set_location_assignment PIN_D12 -to CLOCK_27[0]
293
set_location_assignment PIN_E12 -to CLOCK_27[1]
294
set_location_assignment PIN_B12 -to CLOCK_24[0]
295
set_location_assignment PIN_A12 -to CLOCK_24[1]
296
set_location_assignment PIN_L1 -to CLOCK_50
297
set_location_assignment PIN_M21 -to EXT_CLOCK
298
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_27[1]
299
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_24[0]
300
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_24[1]
301
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_50
302
set_instance_assignment -name IO_STANDARD LVTTL -to EXT_CLOCK
303
set_location_assignment PIN_V20 -to SD_CLK
304
set_location_assignment PIN_Y20 -to SD_CMD
305
set_location_assignment PIN_W20 -to SD_DAT
306
set_location_assignment PIN_U20 -to SD_DAT3
307
set_instance_assignment -name IO_STANDARD LVTTL -to SD_CLK
308
set_instance_assignment -name IO_STANDARD LVTTL -to SD_CMD
309
set_instance_assignment -name IO_STANDARD LVTTL -to SD_DAT
310
set_instance_assignment -name IO_STANDARD LVTTL -to SD_DAT3
311
set_location_assignment PIN_H15 -to PS2_CLK
312
set_location_assignment PIN_J14 -to PS2_DAT
313
set_location_assignment PIN_F14 -to UART_RXD
314
set_location_assignment PIN_G12 -to UART_TXD
315
set_instance_assignment -name IO_STANDARD LVTTL -to PS2_CLK
316
set_instance_assignment -name IO_STANDARD LVTTL -to PS2_DAT
317
set_instance_assignment -name IO_STANDARD LVTTL -to UART_RXD
318
set_instance_assignment -name IO_STANDARD LVTTL -to UART_TXD
319
set_location_assignment PIN_E8 -to TDI
320
set_location_assignment PIN_D8 -to TCS
321
set_location_assignment PIN_C7 -to TCK
322
set_location_assignment PIN_D7 -to TDO
323
set_instance_assignment -name IO_STANDARD LVTTL -to TDI
324
set_instance_assignment -name IO_STANDARD LVTTL -to TCS
325
set_instance_assignment -name IO_STANDARD LVTTL -to TCK
326
set_instance_assignment -name IO_STANDARD LVTTL -to TDO
327
set_location_assignment PIN_D9 -to VGA_R[0]
328
set_location_assignment PIN_C9 -to VGA_R[1]
329
set_location_assignment PIN_A7 -to VGA_R[2]
330
set_location_assignment PIN_B7 -to VGA_R[3]
331
set_location_assignment PIN_B8 -to VGA_G[0]
332
set_location_assignment PIN_C10 -to VGA_G[1]
333
set_location_assignment PIN_B9 -to VGA_G[2]
334
set_location_assignment PIN_A8 -to VGA_G[3]
335
set_location_assignment PIN_A9 -to VGA_B[0]
336
set_location_assignment PIN_D11 -to VGA_B[1]
337
set_location_assignment PIN_A10 -to VGA_B[2]
338
set_location_assignment PIN_B10 -to VGA_B[3]
339
set_location_assignment PIN_A11 -to VGA_HS
340
set_location_assignment PIN_B11 -to VGA_VS
341
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[0]
342
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[1]
343
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[2]
344
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[3]
345
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[0]
346
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[1]
347
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[2]
348
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[3]
349
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[0]
350
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[1]
351
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[2]
352
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[3]
353
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_HS
354
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_VS
355
set_location_assignment PIN_A3 -to I2C_SCLK
356
set_location_assignment PIN_B3 -to I2C_SDAT
357
set_location_assignment PIN_A6 -to AUD_ADCLRCK
358
set_location_assignment PIN_B6 -to AUD_ADCDAT
359
set_location_assignment PIN_A5 -to AUD_DACLRCK
360
set_location_assignment PIN_B5 -to AUD_DACDAT
361
set_location_assignment PIN_B4 -to AUD_XCK
362
set_location_assignment PIN_A4 -to AUD_BCLK
363
set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SCLK
364
set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SDAT
365
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCLRCK
366
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCDAT
367
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACLRCK
368
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACDAT
369
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_XCK
370
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_BCLK
371
set_location_assignment PIN_W4 -to DRAM_ADDR[0]
372
set_location_assignment PIN_W5 -to DRAM_ADDR[1]
373
set_location_assignment PIN_Y3 -to DRAM_ADDR[2]
374
set_location_assignment PIN_Y4 -to DRAM_ADDR[3]
375
set_location_assignment PIN_R6 -to DRAM_ADDR[4]
376
set_location_assignment PIN_R5 -to DRAM_ADDR[5]
377
set_location_assignment PIN_P6 -to DRAM_ADDR[6]
378
set_location_assignment PIN_P5 -to DRAM_ADDR[7]
379
set_location_assignment PIN_P3 -to DRAM_ADDR[8]
380
set_location_assignment PIN_N4 -to DRAM_ADDR[9]
381
set_location_assignment PIN_W3 -to DRAM_ADDR[10]
382
set_location_assignment PIN_N6 -to DRAM_ADDR[11]
383
set_location_assignment PIN_U3 -to DRAM_BA[0]
384
set_location_assignment PIN_V4 -to DRAM_BA[1]
385
set_location_assignment PIN_T3 -to DRAM_CAS_N
386
set_location_assignment PIN_N3 -to DRAM_CKE
387
set_location_assignment PIN_U4 -to DRAM_CLK
388
set_location_assignment PIN_T6 -to DRAM_CS_N
389
set_location_assignment PIN_U1 -to DRAM_DQ[0]
390
set_location_assignment PIN_U2 -to DRAM_DQ[1]
391
set_location_assignment PIN_V1 -to DRAM_DQ[2]
392
set_location_assignment PIN_V2 -to DRAM_DQ[3]
393
set_location_assignment PIN_W1 -to DRAM_DQ[4]
394
set_location_assignment PIN_W2 -to DRAM_DQ[5]
395
set_location_assignment PIN_Y1 -to DRAM_DQ[6]
396
set_location_assignment PIN_Y2 -to DRAM_DQ[7]
397
set_location_assignment PIN_N1 -to DRAM_DQ[8]
398
set_location_assignment PIN_N2 -to DRAM_DQ[9]
399
set_location_assignment PIN_P1 -to DRAM_DQ[10]
400
set_location_assignment PIN_P2 -to DRAM_DQ[11]
401
set_location_assignment PIN_R1 -to DRAM_DQ[12]
402
set_location_assignment PIN_R2 -to DRAM_DQ[13]
403
set_location_assignment PIN_T1 -to DRAM_DQ[14]
404
set_location_assignment PIN_T2 -to DRAM_DQ[15]
405
set_location_assignment PIN_R7 -to DRAM_DQM[0]
406
set_location_assignment PIN_M5 -to DRAM_DQM[1]
407
set_location_assignment PIN_T5 -to DRAM_RAS_N
408
set_location_assignment PIN_R8 -to DRAM_WE_N
409
set_location_assignment PIN_AB20 -to FL_ADDR[0]
410
set_location_assignment PIN_AA14 -to FL_ADDR[1]
411
set_location_assignment PIN_Y16 -to FL_ADDR[2]
412
set_location_assignment PIN_R15 -to FL_ADDR[3]
413
set_location_assignment PIN_T15 -to FL_ADDR[4]
414
set_location_assignment PIN_U15 -to FL_ADDR[5]
415
set_location_assignment PIN_V15 -to FL_ADDR[6]
416
set_location_assignment PIN_W15 -to FL_ADDR[7]
417
set_location_assignment PIN_R14 -to FL_ADDR[8]
418
set_location_assignment PIN_Y13 -to FL_ADDR[9]
419
set_location_assignment PIN_R12 -to FL_ADDR[10]
420
set_location_assignment PIN_T12 -to FL_ADDR[11]
421
set_location_assignment PIN_AB14 -to FL_ADDR[12]
422
set_location_assignment PIN_AA13 -to FL_ADDR[13]
423
set_location_assignment PIN_AB13 -to FL_ADDR[14]
424
set_location_assignment PIN_AA12 -to FL_ADDR[15]
425
set_location_assignment PIN_AB12 -to FL_ADDR[16]
426
set_location_assignment PIN_AA20 -to FL_ADDR[17]
427
set_location_assignment PIN_U14 -to FL_ADDR[18]
428
set_location_assignment PIN_V14 -to FL_ADDR[19]
429
set_location_assignment PIN_U13 -to FL_ADDR[20]
430
set_location_assignment PIN_R13 -to FL_ADDR[21]
431
set_location_assignment PIN_AB16 -to FL_DQ[0]
432
set_location_assignment PIN_AA16 -to FL_DQ[1]
433
set_location_assignment PIN_AB17 -to FL_DQ[2]
434
set_location_assignment PIN_AA17 -to FL_DQ[3]
435
set_location_assignment PIN_AB18 -to FL_DQ[4]
436
set_location_assignment PIN_AA18 -to FL_DQ[5]
437
set_location_assignment PIN_AB19 -to FL_DQ[6]
438
set_location_assignment PIN_AA19 -to FL_DQ[7]
439
set_location_assignment PIN_AA15 -to FL_OE_N
440
set_location_assignment PIN_W14 -to FL_RST_N
441
set_location_assignment PIN_Y14 -to FL_WE_N
442
set_location_assignment PIN_AA3 -to SRAM_ADDR[0]
443
set_location_assignment PIN_AB3 -to SRAM_ADDR[1]
444
set_location_assignment PIN_AA4 -to SRAM_ADDR[2]
445
set_location_assignment PIN_AB4 -to SRAM_ADDR[3]
446
set_location_assignment PIN_AA5 -to SRAM_ADDR[4]
447
set_location_assignment PIN_AB10 -to SRAM_ADDR[5]
448
set_location_assignment PIN_AA11 -to SRAM_ADDR[6]
449
set_location_assignment PIN_AB11 -to SRAM_ADDR[7]
450
set_location_assignment PIN_V11 -to SRAM_ADDR[8]
451
set_location_assignment PIN_W11 -to SRAM_ADDR[9]
452
set_location_assignment PIN_R11 -to SRAM_ADDR[10]
453
set_location_assignment PIN_T11 -to SRAM_ADDR[11]
454
set_location_assignment PIN_Y10 -to SRAM_ADDR[12]
455
set_location_assignment PIN_U10 -to SRAM_ADDR[13]
456
set_location_assignment PIN_R10 -to SRAM_ADDR[14]
457
set_location_assignment PIN_T7 -to SRAM_ADDR[15]
458
set_location_assignment PIN_Y6 -to SRAM_ADDR[16]
459
set_location_assignment PIN_Y5 -to SRAM_ADDR[17]
460
set_location_assignment PIN_AB5 -to SRAM_CE_N
461
set_location_assignment PIN_AA6 -to SRAM_DQ[0]
462
set_location_assignment PIN_AB6 -to SRAM_DQ[1]
463
set_location_assignment PIN_AA7 -to SRAM_DQ[2]
464
set_location_assignment PIN_AB7 -to SRAM_DQ[3]
465
set_location_assignment PIN_AA8 -to SRAM_DQ[4]
466
set_location_assignment PIN_AB8 -to SRAM_DQ[5]
467
set_location_assignment PIN_AA9 -to SRAM_DQ[6]
468
set_location_assignment PIN_AB9 -to SRAM_DQ[7]
469
set_location_assignment PIN_Y9 -to SRAM_DQ[8]
470
set_location_assignment PIN_W9 -to SRAM_DQ[9]
471
set_location_assignment PIN_V9 -to SRAM_DQ[10]
472
set_location_assignment PIN_U9 -to SRAM_DQ[11]
473
set_location_assignment PIN_R9 -to SRAM_DQ[12]
474
set_location_assignment PIN_W8 -to SRAM_DQ[13]
475
set_location_assignment PIN_V8 -to SRAM_DQ[14]
476
set_location_assignment PIN_U8 -to SRAM_DQ[15]
477
set_location_assignment PIN_Y7 -to SRAM_B_N[0]
478
set_location_assignment PIN_T8 -to SRAM_OE_N
479
set_location_assignment PIN_W7 -to SRAM_B_N[1]
480
set_location_assignment PIN_AA10 -to SRAM_WE_N
481
set_location_assignment PIN_AB15 -to FL_CE_N
482
 
483
# Quartus configuration
484
 
485
# file list
486
 
487
 
488
 
489
 
490
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
491
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
492
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
493
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
494
 
495
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
496
set_global_assignment -name VERILOG_FILE DE1_soc_nios2.v
497
set_global_assignment -name VERILOG_FILE debouncer.v
498
set_global_assignment -name QIP_FILE soc.qip
499
 
500
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
501
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
502
set_global_assignment -name ENABLE_SIGNALTAP ON
503
set_global_assignment -name USE_SIGNALTAP_FILE DE1_soc_nios2.stp
504
set_global_assignment -name SIGNALTAP_FILE DE1_soc_nios2.stp
505
set_global_assignment -name SLD_NODE_CREATOR_ID 110 -section_id auto_signaltap_0
506
set_global_assignment -name SLD_NODE_ENTITY_NAME sld_signaltap -section_id auto_signaltap_0
507
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_clk -to "soc:soc_i|onewire:the_onewire|clk" -section_id auto_signaltap_0
508
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to "soc:soc_i|onewire:the_onewire|bus_adr" -section_id auto_signaltap_0
509
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to "soc:soc_i|onewire:the_onewire|bus_irq" -section_id auto_signaltap_0
510
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to "soc:soc_i|onewire:the_onewire|bus_rdt[0]" -section_id auto_signaltap_0
511
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to "soc:soc_i|onewire:the_onewire|bus_rdt[10]" -section_id auto_signaltap_0
512
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to "soc:soc_i|onewire:the_onewire|bus_rdt[11]" -section_id auto_signaltap_0
513
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to "soc:soc_i|onewire:the_onewire|bus_rdt[12]" -section_id auto_signaltap_0
514
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to "soc:soc_i|onewire:the_onewire|bus_rdt[13]" -section_id auto_signaltap_0
515
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to "soc:soc_i|onewire:the_onewire|bus_rdt[14]" -section_id auto_signaltap_0
516
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to "soc:soc_i|onewire:the_onewire|bus_rdt[15]" -section_id auto_signaltap_0
517
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to "soc:soc_i|onewire:the_onewire|bus_rdt[16]" -section_id auto_signaltap_0
518
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to "soc:soc_i|onewire:the_onewire|bus_rdt[17]" -section_id auto_signaltap_0
519
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to "soc:soc_i|onewire:the_onewire|bus_rdt[18]" -section_id auto_signaltap_0
520
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to "soc:soc_i|onewire:the_onewire|bus_rdt[19]" -section_id auto_signaltap_0
521
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to "soc:soc_i|onewire:the_onewire|bus_rdt[1]" -section_id auto_signaltap_0
522
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to "soc:soc_i|onewire:the_onewire|bus_rdt[20]" -section_id auto_signaltap_0
523
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to "soc:soc_i|onewire:the_onewire|bus_rdt[21]" -section_id auto_signaltap_0
524
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to "soc:soc_i|onewire:the_onewire|bus_rdt[22]" -section_id auto_signaltap_0
525
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to "soc:soc_i|onewire:the_onewire|bus_rdt[23]" -section_id auto_signaltap_0
526
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to "soc:soc_i|onewire:the_onewire|bus_rdt[24]" -section_id auto_signaltap_0
527
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to "soc:soc_i|onewire:the_onewire|bus_rdt[25]" -section_id auto_signaltap_0
528
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to "soc:soc_i|onewire:the_onewire|bus_rdt[26]" -section_id auto_signaltap_0
529
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to "soc:soc_i|onewire:the_onewire|bus_rdt[27]" -section_id auto_signaltap_0
530
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to "soc:soc_i|onewire:the_onewire|bus_rdt[28]" -section_id auto_signaltap_0
531
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to "soc:soc_i|onewire:the_onewire|bus_rdt[29]" -section_id auto_signaltap_0
532
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "soc:soc_i|onewire:the_onewire|bus_rdt[2]" -section_id auto_signaltap_0
533
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "soc:soc_i|onewire:the_onewire|bus_rdt[30]" -section_id auto_signaltap_0
534
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to "soc:soc_i|onewire:the_onewire|bus_rdt[31]" -section_id auto_signaltap_0
535
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to "soc:soc_i|onewire:the_onewire|bus_rdt[3]" -section_id auto_signaltap_0
536
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to "soc:soc_i|onewire:the_onewire|bus_rdt[4]" -section_id auto_signaltap_0
537
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to "soc:soc_i|onewire:the_onewire|bus_rdt[5]" -section_id auto_signaltap_0
538
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to "soc:soc_i|onewire:the_onewire|bus_rdt[6]" -section_id auto_signaltap_0
539
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to "soc:soc_i|onewire:the_onewire|bus_rdt[7]" -section_id auto_signaltap_0
540
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to "soc:soc_i|onewire:the_onewire|bus_rdt[8]" -section_id auto_signaltap_0
541
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to "soc:soc_i|onewire:the_onewire|bus_rdt[9]" -section_id auto_signaltap_0
542
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to "soc:soc_i|onewire:the_onewire|bus_ren" -section_id auto_signaltap_0
543
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to "soc:soc_i|onewire:the_onewire|bus_wdt[0]" -section_id auto_signaltap_0
544
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to "soc:soc_i|onewire:the_onewire|bus_wdt[10]" -section_id auto_signaltap_0
545
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "soc:soc_i|onewire:the_onewire|bus_wdt[11]" -section_id auto_signaltap_0
546
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "soc:soc_i|onewire:the_onewire|bus_wdt[12]" -section_id auto_signaltap_0
547
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "soc:soc_i|onewire:the_onewire|bus_wdt[13]" -section_id auto_signaltap_0
548
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "soc:soc_i|onewire:the_onewire|bus_wdt[14]" -section_id auto_signaltap_0
549
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "soc:soc_i|onewire:the_onewire|bus_wdt[15]" -section_id auto_signaltap_0
550
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "soc:soc_i|onewire:the_onewire|bus_wdt[16]" -section_id auto_signaltap_0
551
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "soc:soc_i|onewire:the_onewire|bus_wdt[17]" -section_id auto_signaltap_0
552
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "soc:soc_i|onewire:the_onewire|bus_wdt[18]" -section_id auto_signaltap_0
553
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "soc:soc_i|onewire:the_onewire|bus_wdt[19]" -section_id auto_signaltap_0
554
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "soc:soc_i|onewire:the_onewire|bus_wdt[1]" -section_id auto_signaltap_0
555
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "soc:soc_i|onewire:the_onewire|bus_wdt[20]" -section_id auto_signaltap_0
556
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "soc:soc_i|onewire:the_onewire|bus_wdt[21]" -section_id auto_signaltap_0
557
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to "soc:soc_i|onewire:the_onewire|bus_wdt[22]" -section_id auto_signaltap_0
558
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to "soc:soc_i|onewire:the_onewire|bus_wdt[23]" -section_id auto_signaltap_0
559
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to "soc:soc_i|onewire:the_onewire|bus_wdt[24]" -section_id auto_signaltap_0
560
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to "soc:soc_i|onewire:the_onewire|bus_wdt[25]" -section_id auto_signaltap_0
561
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to "soc:soc_i|onewire:the_onewire|bus_wdt[26]" -section_id auto_signaltap_0
562
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to "soc:soc_i|onewire:the_onewire|bus_wdt[27]" -section_id auto_signaltap_0
563
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to "soc:soc_i|onewire:the_onewire|bus_wdt[28]" -section_id auto_signaltap_0
564
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to "soc:soc_i|onewire:the_onewire|bus_wdt[29]" -section_id auto_signaltap_0
565
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to "soc:soc_i|onewire:the_onewire|bus_wdt[2]" -section_id auto_signaltap_0
566
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to "soc:soc_i|onewire:the_onewire|bus_wdt[30]" -section_id auto_signaltap_0
567
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to "soc:soc_i|onewire:the_onewire|bus_wdt[31]" -section_id auto_signaltap_0
568
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to "soc:soc_i|onewire:the_onewire|bus_wdt[3]" -section_id auto_signaltap_0
569
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to "soc:soc_i|onewire:the_onewire|bus_wdt[4]" -section_id auto_signaltap_0
570
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to "soc:soc_i|onewire:the_onewire|bus_wdt[5]" -section_id auto_signaltap_0
571
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to "soc:soc_i|onewire:the_onewire|bus_wdt[6]" -section_id auto_signaltap_0
572
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to "soc:soc_i|onewire:the_onewire|bus_wdt[7]" -section_id auto_signaltap_0
573
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to "soc:soc_i|onewire:the_onewire|bus_wdt[8]" -section_id auto_signaltap_0
574
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to "soc:soc_i|onewire:the_onewire|bus_wdt[9]" -section_id auto_signaltap_0
575
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to "soc:soc_i|onewire:the_onewire|bus_wen" -section_id auto_signaltap_0
576
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to "soc:soc_i|onewire:the_onewire|owr_e" -section_id auto_signaltap_0
577
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to "soc:soc_i|onewire:the_onewire|owr_i" -section_id auto_signaltap_0
578
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to "soc:soc_i|onewire:the_onewire|owr_p" -section_id auto_signaltap_0
579
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to "soc:soc_i|onewire:the_onewire|rst" -section_id auto_signaltap_0
580
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[0] -to "soc:soc_i|onewire:the_onewire|bus_adr" -section_id auto_signaltap_0
581
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[1] -to "soc:soc_i|onewire:the_onewire|bus_irq" -section_id auto_signaltap_0
582
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[2] -to "soc:soc_i|onewire:the_onewire|bus_rdt[0]" -section_id auto_signaltap_0
583
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[3] -to "soc:soc_i|onewire:the_onewire|bus_rdt[10]" -section_id auto_signaltap_0
584
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[4] -to "soc:soc_i|onewire:the_onewire|bus_rdt[11]" -section_id auto_signaltap_0
585
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[5] -to "soc:soc_i|onewire:the_onewire|bus_rdt[12]" -section_id auto_signaltap_0
586
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[6] -to "soc:soc_i|onewire:the_onewire|bus_rdt[13]" -section_id auto_signaltap_0
587
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[7] -to "soc:soc_i|onewire:the_onewire|bus_rdt[14]" -section_id auto_signaltap_0
588
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[8] -to "soc:soc_i|onewire:the_onewire|bus_rdt[15]" -section_id auto_signaltap_0
589
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[9] -to "soc:soc_i|onewire:the_onewire|bus_rdt[16]" -section_id auto_signaltap_0
590
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[10] -to "soc:soc_i|onewire:the_onewire|bus_rdt[17]" -section_id auto_signaltap_0
591
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[11] -to "soc:soc_i|onewire:the_onewire|bus_rdt[18]" -section_id auto_signaltap_0
592
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[12] -to "soc:soc_i|onewire:the_onewire|bus_rdt[19]" -section_id auto_signaltap_0
593
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[13] -to "soc:soc_i|onewire:the_onewire|bus_rdt[1]" -section_id auto_signaltap_0
594
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[14] -to "soc:soc_i|onewire:the_onewire|bus_rdt[20]" -section_id auto_signaltap_0
595
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[15] -to "soc:soc_i|onewire:the_onewire|bus_rdt[21]" -section_id auto_signaltap_0
596
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[16] -to "soc:soc_i|onewire:the_onewire|bus_rdt[22]" -section_id auto_signaltap_0
597
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[17] -to "soc:soc_i|onewire:the_onewire|bus_rdt[23]" -section_id auto_signaltap_0
598
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[18] -to "soc:soc_i|onewire:the_onewire|bus_rdt[24]" -section_id auto_signaltap_0
599
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[19] -to "soc:soc_i|onewire:the_onewire|bus_rdt[25]" -section_id auto_signaltap_0
600
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[20] -to "soc:soc_i|onewire:the_onewire|bus_rdt[26]" -section_id auto_signaltap_0
601
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[21] -to "soc:soc_i|onewire:the_onewire|bus_rdt[27]" -section_id auto_signaltap_0
602
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[22] -to "soc:soc_i|onewire:the_onewire|bus_rdt[28]" -section_id auto_signaltap_0
603
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[23] -to "soc:soc_i|onewire:the_onewire|bus_rdt[29]" -section_id auto_signaltap_0
604
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[24] -to "soc:soc_i|onewire:the_onewire|bus_rdt[2]" -section_id auto_signaltap_0
605
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[25] -to "soc:soc_i|onewire:the_onewire|bus_rdt[30]" -section_id auto_signaltap_0
606
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[26] -to "soc:soc_i|onewire:the_onewire|bus_rdt[31]" -section_id auto_signaltap_0
607
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[27] -to "soc:soc_i|onewire:the_onewire|bus_rdt[3]" -section_id auto_signaltap_0
608
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[28] -to "soc:soc_i|onewire:the_onewire|bus_rdt[4]" -section_id auto_signaltap_0
609
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[29] -to "soc:soc_i|onewire:the_onewire|bus_rdt[5]" -section_id auto_signaltap_0
610
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[30] -to "soc:soc_i|onewire:the_onewire|bus_rdt[6]" -section_id auto_signaltap_0
611
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[31] -to "soc:soc_i|onewire:the_onewire|bus_rdt[7]" -section_id auto_signaltap_0
612
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[32] -to "soc:soc_i|onewire:the_onewire|bus_rdt[8]" -section_id auto_signaltap_0
613
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[33] -to "soc:soc_i|onewire:the_onewire|bus_rdt[9]" -section_id auto_signaltap_0
614
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[34] -to "soc:soc_i|onewire:the_onewire|bus_ren" -section_id auto_signaltap_0
615
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[35] -to "soc:soc_i|onewire:the_onewire|bus_wdt[0]" -section_id auto_signaltap_0
616
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[36] -to "soc:soc_i|onewire:the_onewire|bus_wdt[10]" -section_id auto_signaltap_0
617
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[37] -to "soc:soc_i|onewire:the_onewire|bus_wdt[11]" -section_id auto_signaltap_0
618
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[38] -to "soc:soc_i|onewire:the_onewire|bus_wdt[12]" -section_id auto_signaltap_0
619
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[39] -to "soc:soc_i|onewire:the_onewire|bus_wdt[13]" -section_id auto_signaltap_0
620
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[40] -to "soc:soc_i|onewire:the_onewire|bus_wdt[14]" -section_id auto_signaltap_0
621
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[41] -to "soc:soc_i|onewire:the_onewire|bus_wdt[15]" -section_id auto_signaltap_0
622
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[42] -to "soc:soc_i|onewire:the_onewire|bus_wdt[16]" -section_id auto_signaltap_0
623
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[43] -to "soc:soc_i|onewire:the_onewire|bus_wdt[17]" -section_id auto_signaltap_0
624
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[44] -to "soc:soc_i|onewire:the_onewire|bus_wdt[18]" -section_id auto_signaltap_0
625
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[45] -to "soc:soc_i|onewire:the_onewire|bus_wdt[19]" -section_id auto_signaltap_0
626
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[46] -to "soc:soc_i|onewire:the_onewire|bus_wdt[1]" -section_id auto_signaltap_0
627
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[47] -to "soc:soc_i|onewire:the_onewire|bus_wdt[20]" -section_id auto_signaltap_0
628
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[48] -to "soc:soc_i|onewire:the_onewire|bus_wdt[21]" -section_id auto_signaltap_0
629
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[49] -to "soc:soc_i|onewire:the_onewire|bus_wdt[22]" -section_id auto_signaltap_0
630
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[50] -to "soc:soc_i|onewire:the_onewire|bus_wdt[23]" -section_id auto_signaltap_0
631
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[51] -to "soc:soc_i|onewire:the_onewire|bus_wdt[24]" -section_id auto_signaltap_0
632
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[52] -to "soc:soc_i|onewire:the_onewire|bus_wdt[25]" -section_id auto_signaltap_0
633
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[53] -to "soc:soc_i|onewire:the_onewire|bus_wdt[26]" -section_id auto_signaltap_0
634
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[54] -to "soc:soc_i|onewire:the_onewire|bus_wdt[27]" -section_id auto_signaltap_0
635
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[55] -to "soc:soc_i|onewire:the_onewire|bus_wdt[28]" -section_id auto_signaltap_0
636
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[56] -to "soc:soc_i|onewire:the_onewire|bus_wdt[29]" -section_id auto_signaltap_0
637
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[57] -to "soc:soc_i|onewire:the_onewire|bus_wdt[2]" -section_id auto_signaltap_0
638
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[58] -to "soc:soc_i|onewire:the_onewire|bus_wdt[30]" -section_id auto_signaltap_0
639
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[59] -to "soc:soc_i|onewire:the_onewire|bus_wdt[31]" -section_id auto_signaltap_0
640
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[60] -to "soc:soc_i|onewire:the_onewire|bus_wdt[3]" -section_id auto_signaltap_0
641
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[61] -to "soc:soc_i|onewire:the_onewire|bus_wdt[4]" -section_id auto_signaltap_0
642
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[62] -to "soc:soc_i|onewire:the_onewire|bus_wdt[5]" -section_id auto_signaltap_0
643
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[63] -to "soc:soc_i|onewire:the_onewire|bus_wdt[6]" -section_id auto_signaltap_0
644
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[64] -to "soc:soc_i|onewire:the_onewire|bus_wdt[7]" -section_id auto_signaltap_0
645
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[65] -to "soc:soc_i|onewire:the_onewire|bus_wdt[8]" -section_id auto_signaltap_0
646
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[66] -to "soc:soc_i|onewire:the_onewire|bus_wdt[9]" -section_id auto_signaltap_0
647
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[67] -to "soc:soc_i|onewire:the_onewire|bus_wen" -section_id auto_signaltap_0
648
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[68] -to "soc:soc_i|onewire:the_onewire|owr_e" -section_id auto_signaltap_0
649
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[69] -to "soc:soc_i|onewire:the_onewire|owr_i" -section_id auto_signaltap_0
650
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[70] -to "soc:soc_i|onewire:the_onewire|owr_p" -section_id auto_signaltap_0
651
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[71] -to "soc:soc_i|onewire:the_onewire|rst" -section_id auto_signaltap_0
652
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334528" -section_id auto_signaltap_0
653
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_0
654
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=512" -section_id auto_signaltap_0
655
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_0
656
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_GAP_RECORD=1" -section_id auto_signaltap_0
657
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=512" -section_id auto_signaltap_0
658
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0
659
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0
660
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "soc:soc_i|onewire:the_onewire|bus_ren" -section_id auto_signaltap_0
661
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "soc:soc_i|onewire:the_onewire|bus_wen" -section_id auto_signaltap_0
662
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_0
663
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_0
664
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -section_id auto_signaltap_0
665
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_0
666
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_0
667
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_0
668
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0
669
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=2" -section_id auto_signaltap_0
670
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_MODE=TRANSITIONAL" -section_id auto_signaltap_0
671
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_n[0]" -section_id auto_signaltap_0
672
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_n[1]" -section_id auto_signaltap_0
673
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_n[2]" -section_id auto_signaltap_0
674
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_n[3]" -section_id auto_signaltap_0
675
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_n[4]" -section_id auto_signaltap_0
676
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_n[5]" -section_id auto_signaltap_0
677
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_n[6]" -section_id auto_signaltap_0
678
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_o[0]" -section_id auto_signaltap_0
679
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_o[1]" -section_id auto_signaltap_0
680
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_o[2]" -section_id auto_signaltap_0
681
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_o[3]" -section_id auto_signaltap_0
682
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_o[4]" -section_id auto_signaltap_0
683
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_o[5]" -section_id auto_signaltap_0
684
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_o[6]" -section_id auto_signaltap_0
685
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[72] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_n[0]" -section_id auto_signaltap_0
686
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[73] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_n[1]" -section_id auto_signaltap_0
687
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[74] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_n[2]" -section_id auto_signaltap_0
688
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[75] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_n[3]" -section_id auto_signaltap_0
689
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[76] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_n[4]" -section_id auto_signaltap_0
690
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[77] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_n[5]" -section_id auto_signaltap_0
691
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[78] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_n[6]" -section_id auto_signaltap_0
692
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[79] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_o[0]" -section_id auto_signaltap_0
693
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[80] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_o[1]" -section_id auto_signaltap_0
694
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[81] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_o[2]" -section_id auto_signaltap_0
695
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[82] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_o[3]" -section_id auto_signaltap_0
696
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[83] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_o[4]" -section_id auto_signaltap_0
697
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[84] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_o[5]" -section_id auto_signaltap_0
698
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[85] -to "soc:soc_i|onewire:the_onewire|sockit_owm:onewire|cdr_o[6]" -section_id auto_signaltap_0
699
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=86" -section_id auto_signaltap_0
700
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_BITS=86" -section_id auto_signaltap_0
701
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0
702
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=116" -section_id auto_signaltap_0
703
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=87" -section_id auto_signaltap_0
704
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=56357" -section_id auto_signaltap_0
705
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=33129" -section_id auto_signaltap_0
706
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.