OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [rtl/] [RTL_VB/] [spw_ulight_con_top_x.v] - Blame information for rev 34

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 redbear
//+FHDR------------------------------------------------------------------------
2
//Copyright (c) 2013 Latin Group American Integhrated Circuit, Inc. All rights reserved
3
//GLADIC Open Source RTL
4
//-----------------------------------------------------------------------------
5
//FILE NAME      :
6
//DEPARTMENT     : IC Design / Verification
7
//AUTHOR         : Felipe Fernandes da Costa
8
//AUTHOR’S EMAIL :
9
//-----------------------------------------------------------------------------
10
//RELEASE HISTORY
11
//VERSION DATE AUTHOR DESCRIPTION
12
//1.0 YYYY-MM-DD name
13
//-----------------------------------------------------------------------------
14
//KEYWORDS : General file searching keywords, leave blank if none.
15
//-----------------------------------------------------------------------------
16
//PURPOSE  : ECSS_E_ST_50_12C_31_july_2008
17
//-----------------------------------------------------------------------------
18
//PARAMETERS
19
//PARAM NAME            RANGE   : DESCRIPTION : DEFAULT : UNITS
20
//e.g.DATA_WIDTH        [32,16] : width of the data : 32:
21
//-----------------------------------------------------------------------------
22
//REUSE ISSUES
23
//Reset Strategy        :
24
//Clock Domains         :
25
//Critical Timing       :
26
//Test Features         :
27
//Asynchronous I/F      :
28
//Scan Methodology      :
29
//Instantiations        :
30
//Synthesizable (y/n)   :
31
//Other                 :
32
//-FHDR------------------------------------------------------------------------
33 33 redbear
module spw_ulight_con_top_x(
34
                                input ppll_100_MHZ,
35
                                input ppllclk,
36
                                input reset_spw_n_b,
37
 
38
                                input top_sin,
39
                                input top_din,
40
 
41
                                input top_auto_start,
42
                                input top_link_start,
43
                                input top_link_disable,
44
 
45
                                input top_tx_write,
46
                                input [8:0] top_tx_data,
47
 
48
                                input top_tx_tick,
49
                                input [7:0] top_tx_time,
50
 
51
                                input read_rx_fifo_en,
52
 
53
                                output [8:0] datarx_flag,
54
 
55
                                output tick_out,
56
                                output [7:0] time_out,
57
 
58
                                output top_dout,
59
                                output top_sout,
60
 
61
                                output f_full,
62
                                output f_empty,
63
                                output f_full_rx,
64
                                output f_empty_rx,
65
                                output top_tx_ready_tick,
66
 
67
                                output [5:0]top_fsm,
68
 
69
                                output [5:0]counter_fifo_tx,
70
                                output [5:0]counter_fifo_rx
71
                                //output [13:0] data_info
72
                        );
73
 
74
 
75
 
76
        wire [8:0] datarx_flag_axi;
77
        wire [8:0] datarx_flag_w;
78
        wire buffer_write_w;
79
 
80
        wire [7:0] time_out_axi;
81
 
82
        wire [13:0] monitor_x_axi;
83
        wire [13:0] data_x;
84
        wire rx_buffer_write_mon_x;
85
 
86
        wire credit_error_rx_w,top_send_fct_now_w;
87
 
88
        wire top_tx_write_w,top_tx_ready_w;
89
        wire [8:0] top_tx_data_w;
90
        wire tx_reset_n;
91
 
92
        assign tx_reset_n = (top_fsm != 6'd16 | !reset_spw_n_b)?1'b0:1'b1;
93
 
94
        //assign time_out = time_out_w;
95
        assign datarx_flag = datarx_flag_axi;
96
        //assign data_info = data_x;
97
 
98
        top_spw_ultra_light SPW(
99
 
100
                                        .pclk(ppll_100_MHZ),
101
                                        .ppllclk(ppllclk),
102
                                        .resetn(reset_spw_n_b),
103
 
104
                                        .top_sin(top_sin),
105
                                        .top_din(top_din),
106
 
107
                                        .top_auto_start(top_auto_start),
108
                                        .top_link_start(top_link_start),
109
                                        .top_link_disable(top_link_disable),
110
 
111
                                        .top_tx_write(top_tx_write_w),
112
                                        .top_tx_data(top_tx_data_w),
113
 
114
                                        .top_tx_tick(top_tx_tick),
115
                                        .top_tx_time(top_tx_time),
116
 
117
                                        .credit_error_rx(credit_error_rx_w),
118
                                        .top_send_fct_now(top_send_fct_now_w),
119
 
120
                                        .datarx_flag(datarx_flag_w),
121
                                        .buffer_write(buffer_write_w),
122
 
123
                                        .time_out(time_out),
124
                                        .tick_out(tick_out),
125
 
126
                                        .top_dout(top_dout),
127
                                        .top_sout(top_sout),
128
 
129
                                        .top_tx_ready(top_tx_ready_w),
130
                                        .top_tx_ready_tick(top_tx_ready_tick),
131
 
132
                                        .top_fsm(top_fsm)
133
                                );
134
 
135
 
136
                        fifo_rx  rx_data(
137
                                         .clock(ppll_100_MHZ),
138
                                         .reset(tx_reset_n),
139
                                         .wr_en(buffer_write_w),
140
                                         .rd_en(read_rx_fifo_en),
141
                                         .data_in(datarx_flag_w),
142
                                         .f_full(f_full_rx),
143
                                         .f_empty(f_empty_rx),
144
                                         .open_slot_fct(top_send_fct_now_w),
145
                                         .overflow_credit_error(credit_error_rx_w),
146
                                         .data_out(datarx_flag_axi),
147
                                         .counter(counter_fifo_rx)
148
                                         );
149
 
150
 
151
                        fifo_tx tx_data(
152
                                         .clock(ppll_100_MHZ),
153
                                         .reset(tx_reset_n),
154
                                         .wr_en(top_tx_write),
155
                                         .rd_en(top_tx_ready_w),
156
                                         .data_in(top_tx_data),
157
                                         .f_full(f_full),
158
                                         .f_empty(f_empty),
159
                                         .write_tx(top_tx_write_w),
160
                                         .data_out(top_tx_data_w),
161
                                         .counter(counter_fifo_tx)
162
                                         );
163
 
164
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.