OpenCores
URL https://opencores.org/ocsvn/spdif_transmitter/spdif_transmitter/trunk

Subversion Repositories spdif_transmitter

[/] [spdif_transmitter/] [trunk/] [testbench/] [tb_top.v] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 ultra_embe
`timescale 1ns / 1ns
2
 
3
//-----------------------------------------------------------------
4
// Module:  Auto generated top
5
//-----------------------------------------------------------------
6
module tb_top();
7
 
8
reg          clk_i;
9
reg          rst_i;
10
reg          audio_clk_i;
11
wire         spdif_o;
12
reg  [31:0]   sample_i;
13
wire         sample_req_o;
14
 
15
//-----------------------------------------------------------------
16
// DUT
17
//-----------------------------------------------------------------
18
spdif dut
19
(
20
      .clk_i(clk_i)
21
    , .rst_i(rst_i)
22
    , .audio_clk_i(audio_clk_i)
23
    , .spdif_o(spdif_o)
24
    , .sample_i(sample_i)
25
    , .sample_req_o(sample_req_o)
26
);
27
 
28
//-----------------------------------------------------------------
29
// Trace
30
//-----------------------------------------------------------------
31
initial
32
begin
33
    if (`TRACE)
34
    begin
35
        $dumpfile("waveform.vcd");
36
        $dumpvars(0,tb_top);
37
    end
38
end
39
 
40
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.