OpenCores
URL https://opencores.org/ocsvn/sport/sport/trunk

Subversion Repositories sport

[/] [sport/] [trunk/] [syn/] [altera/] [db/] [sport_top.map.qmsg] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 jeaander
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1424458772868 ""}
2
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 14.0.0 Build 200 06/17/2014 SJ Web Edition " "Version 14.0.0 Build 200 06/17/2014 SJ Web Edition" {  } {  } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1424458772868 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Feb 20 13:59:32 2015 " "Processing started: Fri Feb 20 13:59:32 2015" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1424458772868 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1424458772868 ""}
3
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off sport_top -c sport_top " "Command: quartus_map --read_settings_files=on --write_settings_files=off sport_top -c sport_top" {  } {  } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1424458772868 ""}
4
{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" {  } {  } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1424458773321 ""}
5
{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "wb_interface.v(208) " "Verilog HDL warning at wb_interface.v(208): extended using \"x\" or \"z\"" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 208 0 0 } }  } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1424458773414 ""}
6
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/users/jeffa/desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v 1 1 " "Found 1 design units, including 1 entities, in source file /users/jeffa/desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" { { "Info" "ISGN_ENTITY_NAME" "1 wb_interface_sport " "Found entity 1: wb_interface_sport" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 57 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1424458773414 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1424458773414 ""}
7
{ "Info" "IVRFX_L3_VERI_OBJ_DIFF_ONLY_IN_CASE" "txFS txfs sport_top.v(158) " "Verilog HDL Declaration information at sport_top.v(158): object \"txFS\" differs only in case from object \"txfs\" in the same scope" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 158 0 0 } }  } 0 10281 "Verilog HDL Declaration information at %3!s!: object \"%1!s!\" differs only in case from object \"%2!s!\" in the same scope" 1 0 "Quartus II" 0 -1 1424458773430 ""}
8
{ "Info" "IVRFX_L3_VERI_OBJ_DIFF_ONLY_IN_CASE" "rxFS rxfs sport_top.v(155) " "Verilog HDL Declaration information at sport_top.v(155): object \"rxFS\" differs only in case from object \"rxfs\" in the same scope" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 155 0 0 } }  } 0 10281 "Verilog HDL Declaration information at %3!s!: object \"%1!s!\" differs only in case from object \"%2!s!\" in the same scope" 1 0 "Quartus II" 0 -1 1424458773430 ""}
9
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/users/jeffa/desktop/rtl/sport/trunk/rtl/verilog/sport_top.v 1 1 " "Found 1 design units, including 1 entities, in source file /users/jeffa/desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" { { "Info" "ISGN_ENTITY_NAME" "1 sport_top " "Found entity 1: sport_top" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 60 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1424458773430 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1424458773430 ""}
10
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/users/jeffa/desktop/rtl/sport/trunk/rtl/verilog/sport_defines.v 0 0 " "Found 0 design units, including 0 entities, in source file /users/jeffa/desktop/rtl/sport/trunk/rtl/verilog/sport_defines.v" {  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1424458773446 ""}
11
{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "fifos.v(223) " "Verilog HDL warning at fifos.v(223): extended using \"x\" or \"z\"" {  } { { "../../rtl/verilog/fifos.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/fifos.v" 223 0 0 } }  } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1424458773446 ""}
12
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/users/jeffa/desktop/rtl/sport/trunk/rtl/verilog/fifos.v 3 3 " "Found 3 design units, including 3 entities, in source file /users/jeffa/desktop/rtl/sport/trunk/rtl/verilog/fifos.v" { { "Info" "ISGN_ENTITY_NAME" "1 fifo_sport " "Found entity 1: fifo_sport" {  } { { "../../rtl/verilog/fifos.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/fifos.v" 71 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1424458773446 ""} { "Info" "ISGN_ENTITY_NAME" "2 custom_fifo_dp " "Found entity 2: custom_fifo_dp" {  } { { "../../rtl/verilog/fifos.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/fifos.v" 130 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1424458773446 ""} { "Info" "ISGN_ENTITY_NAME" "3 mem_byte " "Found entity 3: mem_byte" {  } { { "../../rtl/verilog/fifos.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/fifos.v" 204 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1424458773446 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1424458773446 ""}
13
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "rst_o wb_interface.v(143) " "Verilog HDL Implicit Net warning at wb_interface.v(143): created implicit net for \"rst_o\"" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 143 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773446 ""}
14
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "rst wb_interface.v(144) " "Verilog HDL Implicit Net warning at wb_interface.v(144): created implicit net for \"rst\"" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 144 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773446 ""}
15
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "ack_o wb_interface.v(146) " "Verilog HDL Implicit Net warning at wb_interface.v(146): created implicit net for \"ack_o\"" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 146 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773446 ""}
16
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "stb_o wb_interface.v(147) " "Verilog HDL Implicit Net warning at wb_interface.v(147): created implicit net for \"stb_o\"" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 147 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773446 ""}
17
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "cyc_o wb_interface.v(148) " "Verilog HDL Implicit Net warning at wb_interface.v(148): created implicit net for \"cyc_o\"" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 148 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773446 ""}
18
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "we_o wb_interface.v(149) " "Verilog HDL Implicit Net warning at wb_interface.v(149): created implicit net for \"we_o\"" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 149 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773446 ""}
19
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "clk_o wb_interface.v(172) " "Verilog HDL Implicit Net warning at wb_interface.v(172): created implicit net for \"clk_o\"" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 172 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773446 ""}
20
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "lock_cfg_rx wb_interface.v(176) " "Verilog HDL Implicit Net warning at wb_interface.v(176): created implicit net for \"lock_cfg_rx\"" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 176 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773446 ""}
21
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "lock_cfg_tx wb_interface.v(177) " "Verilog HDL Implicit Net warning at wb_interface.v(177): created implicit net for \"lock_cfg_tx\"" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 177 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773446 ""}
22
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "wb_dat_rdbk wb_interface.v(207) " "Verilog HDL Implicit Net warning at wb_interface.v(207): created implicit net for \"wb_dat_rdbk\"" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 207 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773446 ""}
23
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "wb_wr_en wb_interface.v(213) " "Verilog HDL Implicit Net warning at wb_interface.v(213): created implicit net for \"wb_wr_en\"" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 213 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773446 ""}
24
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "wb_rd_en wb_interface.v(214) " "Verilog HDL Implicit Net warning at wb_interface.v(214): created implicit net for \"wb_rd_en\"" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 214 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773446 ""}
25
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "RFSx sport_top.v(166) " "Verilog HDL Implicit Net warning at sport_top.v(166): created implicit net for \"RFSx\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 166 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773446 ""}
26
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "wb_data_i sport_top.v(321) " "Verilog HDL Implicit Net warning at sport_top.v(321): created implicit net for \"wb_data_i\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 321 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773446 ""}
27
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "wb_wr_en sport_top.v(321) " "Verilog HDL Implicit Net warning at sport_top.v(321): created implicit net for \"wb_wr_en\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 321 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773461 ""}
28
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "wei_rd_en sport_top.v(321) " "Verilog HDL Implicit Net warning at sport_top.v(321): created implicit net for \"wei_rd_en\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 321 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773461 ""}
29
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "fullwrite sport_top.v(321) " "Verilog HDL Implicit Net warning at sport_top.v(321): created implicit net for \"fullwrite\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 321 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773461 ""}
30
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "emptywrite sport_top.v(321) " "Verilog HDL Implicit Net warning at sport_top.v(321): created implicit net for \"emptywrite\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 321 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773461 ""}
31
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "data_o sport_top.v(322) " "Verilog HDL Implicit Net warning at sport_top.v(322): created implicit net for \"data_o\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 322 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773461 ""}
32
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "fullread sport_top.v(322) " "Verilog HDL Implicit Net warning at sport_top.v(322): created implicit net for \"fullread\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 322 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773461 ""}
33
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "emptyread sport_top.v(322) " "Verilog HDL Implicit Net warning at sport_top.v(322): created implicit net for \"emptyread\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 322 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773461 ""}
34
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "wb_sel_i sport_top.v(400) " "Verilog HDL Implicit Net warning at sport_top.v(400): created implicit net for \"wb_sel_i\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 400 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773461 ""}
35
{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "wb_cti_i sport_top.v(401) " "Verilog HDL Implicit Net warning at sport_top.v(401): created implicit net for \"wb_cti_i\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 401 0 0 } }  } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458773461 ""}
36
{ "Info" "ISGN_START_ELABORATION_TOP" "sport_top " "Elaborating entity \"sport_top\" for the top level hierarchy" {  } {  } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1424458773492 ""}
37
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "RFSx sport_top.v(166) " "Verilog HDL or VHDL warning at sport_top.v(166): object \"RFSx\" assigned a value but never read" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 166 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1424458773492 "|sport_top"}
38
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "rxstate sport_top.v(119) " "Verilog HDL or VHDL warning at sport_top.v(119): object \"rxstate\" assigned a value but never read" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 119 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1424458773492 "|sport_top"}
39
{ "Warning" "WVRFX_VERI_2106_UNCONVERTED" "rxsecEn_rx sport_top.v(145) " "Verilog HDL warning at sport_top.v(145): object rxsecEn_rx used but never assigned" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 145 0 0 } }  } 0 10858 "Verilog HDL warning at %2!s!: object %1!s! used but never assigned" 0 0 "Quartus II" 0 -1 1424458773492 "|sport_top"}
40
{ "Warning" "WVRFX_VERI_2106_UNCONVERTED" "rxlateFS_earlyFSn_rx sport_top.v(146) " "Verilog HDL warning at sport_top.v(146): object rxlateFS_earlyFSn_rx used but never assigned" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 146 0 0 } }  } 0 10858 "Verilog HDL warning at %2!s!: object %1!s! used but never assigned" 0 0 "Quartus II" 0 -1 1424458773492 "|sport_top"}
41
{ "Warning" "WVRFX_VERI_2106_UNCONVERTED" "txsecEn_tx sport_top.v(147) " "Verilog HDL warning at sport_top.v(147): object txsecEn_tx used but never assigned" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 147 0 0 } }  } 0 10858 "Verilog HDL warning at %2!s!: object %1!s! used but never assigned" 0 0 "Quartus II" 0 -1 1424458773492 "|sport_top"}
42
{ "Warning" "WVRFX_VERI_2106_UNCONVERTED" "txlateFS_earlyFSn_tx sport_top.v(148) " "Verilog HDL warning at sport_top.v(148): object txlateFS_earlyFSn_tx used but never assigned" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 148 0 0 } }  } 0 10858 "Verilog HDL warning at %2!s!: object %1!s! used but never assigned" 0 0 "Quartus II" 0 -1 1424458773492 "|sport_top"}
43
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "rxidle sport_top.v(153) " "Verilog HDL or VHDL warning at sport_top.v(153): object \"rxidle\" assigned a value but never read" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 153 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1424458773492 "|sport_top"}
44
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "txidle sport_top.v(156) " "Verilog HDL or VHDL warning at sport_top.v(156): object \"txidle\" assigned a value but never read" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 156 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1424458773492 "|sport_top"}
45
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 5 sport_top.v(216) " "Verilog HDL assignment warning at sport_top.v(216): truncated value with size 32 to match size of target (5)" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 216 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1424458773492 "|sport_top"}
46
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 5 sport_top.v(217) " "Verilog HDL assignment warning at sport_top.v(217): truncated value with size 32 to match size of target (5)" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 217 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1424458773492 "|sport_top"}
47
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 sport_top.v(225) " "Verilog HDL assignment warning at sport_top.v(225): truncated value with size 32 to match size of target (10)" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 225 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1424458773492 "|sport_top"}
48
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 sport_top.v(226) " "Verilog HDL assignment warning at sport_top.v(226): truncated value with size 32 to match size of target (10)" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 226 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1424458773492 "|sport_top"}
49
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 5 sport_top.v(277) " "Verilog HDL assignment warning at sport_top.v(277): truncated value with size 32 to match size of target (5)" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 277 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1424458773492 "|sport_top"}
50
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 5 sport_top.v(278) " "Verilog HDL assignment warning at sport_top.v(278): truncated value with size 32 to match size of target (5)" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 278 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1424458773492 "|sport_top"}
51
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 sport_top.v(286) " "Verilog HDL assignment warning at sport_top.v(286): truncated value with size 32 to match size of target (10)" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 286 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1424458773508 "|sport_top"}
52
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 sport_top.v(287) " "Verilog HDL assignment warning at sport_top.v(287): truncated value with size 32 to match size of target (10)" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 287 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1424458773508 "|sport_top"}
53
{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "rxsecEn_rx 0 sport_top.v(145) " "Net \"rxsecEn_rx\" at sport_top.v(145) has no driver or initial value, using a default initial value '0'" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 145 0 0 } }  } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Quartus II" 0 -1 1424458773508 "|sport_top"}
54
{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "txsecEn_tx 0 sport_top.v(147) " "Net \"txsecEn_tx\" at sport_top.v(147) has no driver or initial value, using a default initial value '0'" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 147 0 0 } }  } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Quartus II" 0 -1 1424458773508 "|sport_top"}
55
{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "txlateFS_earlyFSn_tx 0 sport_top.v(148) " "Net \"txlateFS_earlyFSn_tx\" at sport_top.v(148) has no driver or initial value, using a default initial value '0'" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 148 0 0 } }  } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Quartus II" 0 -1 1424458773508 "|sport_top"}
56
{ "Warning" "WVRFX_L2_VDB_DRIVERLESS_OUTPUT_PORT" "TRSx sport_top.v(95) " "Output port \"TRSx\" at sport_top.v(95) has no driver" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 95 0 0 } }  } 0 10034 "Output port \"%1!s!\" at %2!s! has no driver" 0 0 "Quartus II" 0 -1 1424458773508 "|sport_top"}
57
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "fifo_sport fifo_sport:datafifowrite " "Elaborating entity \"fifo_sport\" for hierarchy \"fifo_sport:datafifowrite\"" {  } { { "../../rtl/verilog/sport_top.v" "datafifowrite" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 321 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1424458773508 ""}
58
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "custom_fifo_dp fifo_sport:datafifowrite\|custom_fifo_dp:custom_fifo_dp5 " "Elaborating entity \"custom_fifo_dp\" for hierarchy \"fifo_sport:datafifowrite\|custom_fifo_dp:custom_fifo_dp5\"" {  } { { "../../rtl/verilog/fifos.v" "custom_fifo_dp5" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/fifos.v" 112 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1424458773508 ""}
59
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mem_byte fifo_sport:datafifowrite\|custom_fifo_dp:custom_fifo_dp5\|mem_byte:mem\[0\].mem_byte " "Elaborating entity \"mem_byte\" for hierarchy \"fifo_sport:datafifowrite\|custom_fifo_dp:custom_fifo_dp5\|mem_byte:mem\[0\].mem_byte\"" {  } { { "../../rtl/verilog/fifos.v" "mem\[0\].mem_byte" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/fifos.v" 161 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1424458773508 ""}
60
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "wb_interface_sport wb_interface_sport:wb_interface " "Elaborating entity \"wb_interface_sport\" for hierarchy \"wb_interface_sport:wb_interface\"" {  } { { "../../rtl/verilog/sport_top.v" "wb_interface" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 403 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1424458773602 ""}
61
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "rst_o wb_interface.v(143) " "Verilog HDL or VHDL warning at wb_interface.v(143): object \"rst_o\" assigned a value but never read" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 143 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1424458773602 "|sport_top|wb_interface_sport:wb_interface"}
62
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "ack_o wb_interface.v(146) " "Verilog HDL or VHDL warning at wb_interface.v(146): object \"ack_o\" assigned a value but never read" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 146 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1424458773602 "|sport_top|wb_interface_sport:wb_interface"}
63
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "stb_o wb_interface.v(147) " "Verilog HDL or VHDL warning at wb_interface.v(147): object \"stb_o\" assigned a value but never read" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 147 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1424458773602 "|sport_top|wb_interface_sport:wb_interface"}
64
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "cyc_o wb_interface.v(148) " "Verilog HDL or VHDL warning at wb_interface.v(148): object \"cyc_o\" assigned a value but never read" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 148 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1424458773602 "|sport_top|wb_interface_sport:wb_interface"}
65
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "we_o wb_interface.v(149) " "Verilog HDL or VHDL warning at wb_interface.v(149): object \"we_o\" assigned a value but never read" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 149 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1424458773602 "|sport_top|wb_interface_sport:wb_interface"}
66
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "clk_o wb_interface.v(172) " "Verilog HDL or VHDL warning at wb_interface.v(172): object \"clk_o\" assigned a value but never read" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 172 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1424458773602 "|sport_top|wb_interface_sport:wb_interface"}
67
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "wb_wr_en wb_interface.v(213) " "Verilog HDL or VHDL warning at wb_interface.v(213): object \"wb_wr_en\" assigned a value but never read" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 213 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1424458773602 "|sport_top|wb_interface_sport:wb_interface"}
68
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "wb_rd_en wb_interface.v(214) " "Verilog HDL or VHDL warning at wb_interface.v(214): object \"wb_rd_en\" assigned a value but never read" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 214 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1424458773602 "|sport_top|wb_interface_sport:wb_interface"}
69
{ "Warning" "WVRFX_VERI_2106_UNCONVERTED" "full wb_interface.v(135) " "Verilog HDL warning at wb_interface.v(135): object full used but never assigned" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 135 0 0 } }  } 0 10858 "Verilog HDL warning at %2!s!: object %1!s! used but never assigned" 0 0 "Quartus II" 0 -1 1424458773602 "|sport_top|wb_interface_sport:wb_interface"}
70
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "6 1 wb_interface.v(158) " "Verilog HDL assignment warning at wb_interface.v(158): truncated value with size 6 to match size of target (1)" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 158 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1424458773602 "|sport_top|wb_interface_sport:wb_interface"}
71
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 wb_interface.v(207) " "Verilog HDL assignment warning at wb_interface.v(207): truncated value with size 32 to match size of target (1)" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 207 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1424458773602 "|sport_top|wb_interface_sport:wb_interface"}
72
{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "full 0 wb_interface.v(135) " "Net \"full\" at wb_interface.v(135) has no driver or initial value, using a default initial value '0'" {  } { { "../../rtl/verilog/wb_interface.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/wb_interface.v" 135 0 0 } }  } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Quartus II" 0 -1 1424458773602 "|sport_top|wb_interface_sport:wb_interface"}
73
{ "Warning" "WSGN_TRI_BUS_MISSING_SOURCE_TOP" "" "Net is missing source, defaulting to GND" { { "Warning" "WSGN_TRI_BUS_MISSING_SOURCE_SUB" "wb_data_i " "Net \"wb_data_i\" is missing source, defaulting to GND" {  } { { "../../rtl/verilog/sport_top.v" "wb_data_i" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 321 -1 0 } }  } 0 12110 "Net \"%1!s!\" is missing source, defaulting to GND" 0 0 "Quartus II" 0 -1 1424458773711 ""} { "Warning" "WSGN_TRI_BUS_MISSING_SOURCE_SUB" "wb_wr_en " "Net \"wb_wr_en\" is missing source, defaulting to GND" {  } { { "../../rtl/verilog/sport_top.v" "wb_wr_en" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 321 -1 0 } }  } 0 12110 "Net \"%1!s!\" is missing source, defaulting to GND" 0 0 "Quartus II" 0 -1 1424458773711 ""} { "Warning" "WSGN_TRI_BUS_MISSING_SOURCE_SUB" "wei_rd_en " "Net \"wei_rd_en\" is missing source, defaulting to GND" {  } { { "../../rtl/verilog/sport_top.v" "wei_rd_en" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 321 -1 0 } }  } 0 12110 "Net \"%1!s!\" is missing source, defaulting to GND" 0 0 "Quartus II" 0 -1 1424458773711 ""} { "Warning" "WSGN_TRI_BUS_MISSING_SOURCE_SUB" "rst " "Net \"rst\" is missing source, defaulting to GND" {  } { { "../../rtl/verilog/sport_top.v" "rst" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 116 -1 0 } }  } 0 12110 "Net \"%1!s!\" is missing source, defaulting to GND" 0 0 "Quartus II" 0 -1 1424458773711 ""} { "Warning" "WSGN_TRI_BUS_MISSING_SOURCE_SUB" "clk " "Net \"clk\" is missing source, defaulting to GND" {  } { { "../../rtl/verilog/sport_top.v" "clk" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 120 -1 0 } }  } 0 12110 "Net \"%1!s!\" is missing source, defaulting to GND" 0 0 "Quartus II" 0 -1 1424458773711 ""}  } {  } 0 12011 "Net is missing source, defaulting to GND" 0 0 "Quartus II" 0 -1 1424458773711 ""}
74
{ "Warning" "WSGN_CONNECTIVITY_WARNINGS" "3 " "3 hierarchies have connectivity warnings - see the Connectivity Checks report folder" {  } {  } 0 12241 "%1!d! hierarchies have connectivity warnings - see the Connectivity Checks report folder" 0 0 "Quartus II" 0 -1 1424458774272 ""}
75
{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "DTxPRI GND " "Pin \"DTxPRI\" is stuck at GND" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 88 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1424458774319 "|sport_top|DTxPRI"} { "Warning" "WMLS_MLS_STUCK_PIN" "DTxSEC GND " "Pin \"DTxSEC\" is stuck at GND" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 89 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1424458774319 "|sport_top|DTxSEC"} { "Warning" "WMLS_MLS_STUCK_PIN" "TRSx GND " "Pin \"TRSx\" is stuck at GND" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 95 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1424458774319 "|sport_top|TRSx"} { "Warning" "WMLS_MLS_STUCK_PIN" "wb_err_o GND " "Pin \"wb_err_o\" is stuck at GND" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 107 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1424458774319 "|sport_top|wb_err_o"}  } {  } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1424458774319 ""}
76
{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" {  } {  } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Quartus II" 0 -1 1424458774397 ""}
77
{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "364 " "364 registers lost all their fanouts during netlist optimizations." {  } {  } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1424458774803 ""}
78
{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/jeffA/Desktop/rtl/sport/trunk/syn/altera/output_files/sport_top.map.smsg " "Generated suppressed messages file C:/Users/jeffA/Desktop/rtl/sport/trunk/syn/altera/output_files/sport_top.map.smsg" {  } {  } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1424458774865 ""}
79
{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" {  } {  } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1424458775068 ""}  } {  } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775068 ""}
80
{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "15 " "Design contains 15 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "DRxPRI " "No output dependent on input pin \"DRxPRI\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 92 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775177 "|sport_top|DRxPRI"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "DRxSEC " "No output dependent on input pin \"DRxSEC\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 93 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775177 "|sport_top|DRxSEC"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "wb_dat_i\[18\] " "No output dependent on input pin \"wb_dat_i\[18\]\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 100 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775177 "|sport_top|wb_dat_i[18]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "wb_dat_i\[19\] " "No output dependent on input pin \"wb_dat_i\[19\]\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 100 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775177 "|sport_top|wb_dat_i[19]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "wb_dat_i\[21\] " "No output dependent on input pin \"wb_dat_i\[21\]\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 100 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775177 "|sport_top|wb_dat_i[21]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "wb_dat_i\[22\] " "No output dependent on input pin \"wb_dat_i\[22\]\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 100 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775177 "|sport_top|wb_dat_i[22]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "wb_dat_i\[23\] " "No output dependent on input pin \"wb_dat_i\[23\]\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 100 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775177 "|sport_top|wb_dat_i[23]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "wb_dat_i\[24\] " "No output dependent on input pin \"wb_dat_i\[24\]\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 100 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775177 "|sport_top|wb_dat_i[24]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "wb_dat_i\[25\] " "No output dependent on input pin \"wb_dat_i\[25\]\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 100 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775177 "|sport_top|wb_dat_i[25]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "wb_dat_i\[26\] " "No output dependent on input pin \"wb_dat_i\[26\]\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 100 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775177 "|sport_top|wb_dat_i[26]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "wb_dat_i\[27\] " "No output dependent on input pin \"wb_dat_i\[27\]\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 100 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775177 "|sport_top|wb_dat_i[27]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "wb_dat_i\[28\] " "No output dependent on input pin \"wb_dat_i\[28\]\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 100 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775177 "|sport_top|wb_dat_i[28]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "wb_dat_i\[29\] " "No output dependent on input pin \"wb_dat_i\[29\]\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 100 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775177 "|sport_top|wb_dat_i[29]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "wb_dat_i\[30\] " "No output dependent on input pin \"wb_dat_i\[30\]\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 100 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775177 "|sport_top|wb_dat_i[30]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "wb_dat_i\[31\] " "No output dependent on input pin \"wb_dat_i\[31\]\"" {  } { { "../../rtl/verilog/sport_top.v" "" { Text "C:/Users/jeffA/Desktop/rtl/sport/trunk/rtl/verilog/sport_top.v" 100 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1424458775177 "|sport_top|wb_dat_i[31]"}  } {  } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1424458775177 ""}
81
{ "Info" "ICUT_CUT_TM_SUMMARY" "150 " "Implemented 150 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "47 " "Implemented 47 input pins" {  } {  } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1424458775177 ""} { "Info" "ICUT_CUT_TM_OPINS" "42 " "Implemented 42 output pins" {  } {  } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1424458775177 ""} { "Info" "ICUT_CUT_TM_LCELLS" "61 " "Implemented 61 logic cells" {  } {  } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1424458775177 ""}  } {  } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1424458775177 ""}
82
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 84 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 84 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "583 " "Peak virtual memory: 583 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1424458775240 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Feb 20 13:59:35 2015 " "Processing ended: Fri Feb 20 13:59:35 2015" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1424458775240 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1424458775240 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1424458775240 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1424458775240 ""}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.