OpenCores
URL https://opencores.org/ocsvn/sport/sport/trunk

Subversion Repositories sport

[/] [sport/] [trunk/] [syn/] [xilinx/] [ise/] [sport_top/] [sport_top.syr] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 jeaander
Release 14.7 - xst P.20131013 (nt64)
2
Copyright (c) 1995-2013 Xilinx, Inc.  All rights reserved.
3
--> Parameter TMPDIR set to xst/projnav.tmp
4
 
5
 
6
Total REAL time to Xst completion: 0.00 secs
7
Total CPU time to Xst completion: 0.12 secs
8
 
9
--> Parameter xsthdpdir set to xst
10
 
11
 
12
Total REAL time to Xst completion: 0.00 secs
13
Total CPU time to Xst completion: 0.12 secs
14
 
15
--> Reading design: sport_top.prj
16
 
17
TABLE OF CONTENTS
18
  1) Synthesis Options Summary
19
  2) HDL Compilation
20
  3) Design Hierarchy Analysis
21
  4) HDL Analysis
22
  5) HDL Synthesis
23
     5.1) HDL Synthesis Report
24
  6) Advanced HDL Synthesis
25
     6.1) Advanced HDL Synthesis Report
26
  7) Low Level Synthesis
27
  8) Partition Report
28
  9) Final Report
29
        9.1) Device utilization summary
30
        9.2) Partition Resource Summary
31
        9.3) TIMING REPORT
32
 
33
 
34
=========================================================================
35
*                      Synthesis Options Summary                        *
36
=========================================================================
37
---- Source Parameters
38
Input File Name                    : "sport_top.prj"
39
Input Format                       : mixed
40
Ignore Synthesis Constraint File   : NO
41
 
42
---- Target Parameters
43
Output File Name                   : "sport_top"
44
Output Format                      : NGC
45
Target Device                      : xc3s700an-4-fgg484
46
 
47
---- Source Options
48
Top Module Name                    : sport_top
49
Automatic FSM Extraction           : YES
50
FSM Encoding Algorithm             : Auto
51
Safe Implementation                : No
52
FSM Style                          : LUT
53
RAM Extraction                     : Yes
54
RAM Style                          : Auto
55
ROM Extraction                     : Yes
56
Mux Style                          : Auto
57
Decoder Extraction                 : YES
58
Priority Encoder Extraction        : Yes
59
Shift Register Extraction          : YES
60
Logical Shifter Extraction         : YES
61
XOR Collapsing                     : YES
62
ROM Style                          : Auto
63
Mux Extraction                     : Yes
64
Resource Sharing                   : YES
65
Asynchronous To Synchronous        : NO
66
Multiplier Style                   : Auto
67
Automatic Register Balancing       : No
68
 
69
---- Target Options
70
Add IO Buffers                     : YES
71
Global Maximum Fanout              : 100000
72
Add Generic Clock Buffer(BUFG)     : 24
73
Register Duplication               : YES
74
Slice Packing                      : YES
75
Optimize Instantiated Primitives   : NO
76
Use Clock Enable                   : Yes
77
Use Synchronous Set                : Yes
78
Use Synchronous Reset              : Yes
79
Pack IO Registers into IOBs        : Auto
80
Equivalent register Removal        : YES
81
 
82
---- General Options
83
Optimization Goal                  : Speed
84
Optimization Effort                : 1
85
Keep Hierarchy                     : No
86
Netlist Hierarchy                  : As_Optimized
87
RTL Output                         : Yes
88
Global Optimization                : AllClockNets
89
Read Cores                         : YES
90
Write Timing Constraints           : NO
91
Cross Clock Analysis               : NO
92
Hierarchy Separator                : /
93
Bus Delimiter                      : <>
94
Case Specifier                     : Maintain
95
Slice Utilization Ratio            : 100
96
BRAM Utilization Ratio             : 100
97
Verilog 2001                       : YES
98
Auto BRAM Packing                  : NO
99
Slice Utilization Ratio Delta      : 5
100
 
101
=========================================================================
102
 
103
 
104
=========================================================================
105
*                          HDL Compilation                              *
106
=========================================================================
107
Compiling verilog file "../../../../rtl/verilog/wb_interface.v" in library work
108
Compiling verilog include file "../../../../rtl/verilog/sport_defines.v"
109
Compiling verilog file "../../../../rtl/verilog/fifos.v" in library work
110
Compiling verilog include file "../../../../rtl/verilog/SPORT_defines.v"
111
Module  compiled
112
Module  compiled
113
Module  compiled
114
Compiling verilog file "../../../../rtl/verilog/sport_top.v" in library work
115
Compiling verilog include file "../../../../rtl/verilog/sport_defines.v"
116
Module  compiled
117
Module  compiled
118
No errors in compilation
119
Analysis of file <"sport_top.prj"> succeeded.
120
 
121
 
122
=========================================================================
123
*                     Design Hierarchy Analysis                         *
124
=========================================================================
125
Analyzing hierarchy for module  in library .
126
 
127
Analyzing hierarchy for module  in library .
128
 
129
Analyzing hierarchy for module  in library .
130
 
131
Analyzing hierarchy for module  in library .
132
 
133
Analyzing hierarchy for module  in library .
134
 
135
 
136
=========================================================================
137
*                            HDL Analysis                               *
138
=========================================================================
139
Analyzing top module .
140
WARNING:Xst:863 - "../../../../rtl/verilog/sport_top.v" line 161: Name conflict ( and , renaming txFS as txfs_rnm0).
141
WARNING:Xst:863 - "../../../../rtl/verilog/sport_top.v" line 161: Name conflict ( and , renaming rxFS as rxfs_rnm0).
142
Module  is correct for synthesis.
143
 
144
Analyzing module  in library .
145
Module  is correct for synthesis.
146
 
147
Analyzing module  in library .
148
Module  is correct for synthesis.
149
 
150
Analyzing module  in library .
151
Module  is correct for synthesis.
152
 
153
Analyzing module  in library .
154
Module  is correct for synthesis.
155
 
156
 
157
=========================================================================
158
*                           HDL Synthesis                               *
159
=========================================================================
160
 
161
Performing bidirectional port resolution...
162
 
163
Synthesizing Unit .
164
    Related source file is "../../../../rtl/verilog/wb_interface.v".
165
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
166
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
167
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
168
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
169
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
170
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
171
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
172
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
173
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
174
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
175
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
176
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
177
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
178
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
179
    Found 32-bit tristate buffer for signal .
180
    Found 1-bit register for signal .
181
    Found 1-bit register for signal .
182
    Found 1-bit register for signal .
183
    Found 32-bit register for signal .
184
    Found 32-bit register for signal .
185
    Summary:
186
        inferred  35 D-type flip-flop(s).
187
        inferred  32 Tristate(s).
188
Unit  synthesized.
189
 
190
 
191
Synthesizing Unit .
192
    Related source file is "../../../../rtl/verilog/fifos.v".
193
    Found 8-bit tristate buffer for signal .
194
    Found 8-bit register for signal .
195
    Summary:
196
        inferred   8 D-type flip-flop(s).
197
        inferred   8 Tristate(s).
198
Unit  synthesized.
199
 
200
 
201
Synthesizing Unit .
202
    Related source file is "../../../../rtl/verilog/fifos.v".
203
    Found 10-bit comparator equal for signal .
204
    Found 10-bit register for signal .
205
    Found 10-bit register for signal .
206
    Found 8-bit register for signal .
207
    Summary:
208
        inferred  28 D-type flip-flop(s).
209
        inferred   1 Comparator(s).
210
Unit  synthesized.
211
 
212
 
213
Synthesizing Unit .
214
    Related source file is "../../../../rtl/verilog/fifos.v".
215
Unit  synthesized.
216
 
217
 
218
Synthesizing Unit .
219
    Related source file is "../../../../rtl/verilog/sport_top.v".
220
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
221
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
222
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
223
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
224
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
225
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
226
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
227
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
228
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
229
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
230
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
231
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
232
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
233
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
234
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
235
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
236
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
237
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
238
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
239
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
240
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
241
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
242
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
243
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
244
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
245
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
246
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
247
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
248
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
249
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
250
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
251
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
252
    Found finite state machine  for signal .
253
    -----------------------------------------------------------------------
254
    | States             | 4                                              |
255
    | Transitions        | 7                                              |
256
    | Inputs             | 3                                              |
257
    | Outputs            | 2                                              |
258
    | Clock              | txclk                     (rising_edge)        |
259
    | Reset              | rst                       (positive)           |
260
    | Reset type         | asynchronous                                   |
261
    | Reset State        | 000                                            |
262
    | Encoding           | automatic                                      |
263
    | Implementation     | LUT                                            |
264
    -----------------------------------------------------------------------
265
    Found 1-bit register for signal .
266
    Found 10-bit register for signal .
267
    Found 10-bit adder for signal .
268
    Found 32-bit register for signal .
269
    Found 5-bit register for signal .
270
    Found 5-bit adder for signal .
271
    Found 32-bit register for signal .
272
    Found 5-bit comparator equal for signal  created at line 265.
273
    Found 10-bit comparator equal for signal  created at line 266.
274
    Found 1-bit register for signal .
275
    Found 1-bit register for signal .
276
    Found 10-bit register for signal .
277
    Found 10-bit adder for signal .
278
    Found 5-bit register for signal .
279
    Found 5-bit adder for signal .
280
    Found 32-bit register for signal .
281
    Found 32-bit register for signal .
282
    Summary:
283
        inferred   1 Finite State Machine(s).
284
        inferred 161 D-type flip-flop(s).
285
        inferred   4 Adder/Subtractor(s).
286
        inferred   2 Comparator(s).
287
Unit  synthesized.
288
 
289
INFO:Xst:1767 - HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing.
290
 
291
=========================================================================
292
HDL Synthesis Report
293
 
294
Macro Statistics
295
# Adders/Subtractors                                   : 2
296
 10-bit adder                                          : 1
297
 5-bit adder                                           : 1
298
# Registers                                            : 262
299
 1-bit register                                        : 166
300
 10-bit register                                       : 1
301
 32-bit register                                       : 6
302
 5-bit register                                        : 1
303
 8-bit register                                        : 88
304
# Comparators                                          : 10
305
 10-bit comparator equal                               : 9
306
 5-bit comparator equal                                : 1
307
# Tristates                                            : 81
308
 32-bit tristate buffer                                : 1
309
 8-bit tristate buffer                                 : 80
310
 
311
=========================================================================
312
 
313
=========================================================================
314
*                       Advanced HDL Synthesis                          *
315
=========================================================================
316
 
317
Analyzing FSM  for best encoding.
318
Optimizing FSM  on signal  with gray encoding.
319
-------------------
320
 State | Encoding
321
-------------------
322
 000   | 00
323
 001   | 01
324
 010   | 10
325
 011   | 11
326
-------------------
327
WARNING:Xst:1290 - Hierarchical block  is unconnected in block .
328
   It will be removed from the design.
329
WARNING:Xst:1426 - The value init of the FF/Latch addr_wr_0 hinder the constant cleaning in the block custom_fifo_dp8.
330
   You should achieve better results by setting this init to 0.
331
WARNING:Xst:1426 - The value init of the FF/Latch addr_rd_0 hinder the constant cleaning in the block custom_fifo_dp8.
332
   You should achieve better results by setting this init to 0.
333
WARNING:Xst:1426 - The value init of the FF/Latch addr_wr_0 hinder the constant cleaning in the block custom_fifo_dp7.
334
   You should achieve better results by setting this init to 0.
335
WARNING:Xst:1426 - The value init of the FF/Latch addr_rd_0 hinder the constant cleaning in the block custom_fifo_dp7.
336
   You should achieve better results by setting this init to 0.
337
WARNING:Xst:1426 - The value init of the FF/Latch addr_wr_0 hinder the constant cleaning in the block custom_fifo_dp6.
338
   You should achieve better results by setting this init to 0.
339
WARNING:Xst:1426 - The value init of the FF/Latch addr_rd_0 hinder the constant cleaning in the block custom_fifo_dp6.
340
   You should achieve better results by setting this init to 0.
341
WARNING:Xst:1426 - The value init of the FF/Latch addr_wr_0 hinder the constant cleaning in the block custom_fifo_dp5.
342
   You should achieve better results by setting this init to 0.
343
WARNING:Xst:1426 - The value init of the FF/Latch addr_rd_0 hinder the constant cleaning in the block custom_fifo_dp5.
344
   You should achieve better results by setting this init to 0.
345
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
346
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
347
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
348
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
349
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
350
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
351
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
352
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
353
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
354
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
355
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
356
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
357
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
358
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
359
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
360
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
361
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
362
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
363
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
364
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
365
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
366
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
367
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
368
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
369
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
370
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
371
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
372
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
373
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
374
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
375
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
376
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
377
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
378
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
379
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
380
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
381
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
382
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
383
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
384
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
385
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
386
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
387
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
388
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
389
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
390
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
391
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
392
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
393
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
394
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
395
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
396
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
397
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
398
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
399
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
400
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
401
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
402
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
403
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
404
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
405
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
406
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
407
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
408
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
409
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
410
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
411
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
412
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
413
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
414
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
415
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
416
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
417
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
418
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
419
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
420
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
421
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
422
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
423
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
424
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
425
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
426
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
427
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
428
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
429
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
430
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
431
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
432
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
433
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
434
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
435
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
436
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
437
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
438
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
439
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
440
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
441
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
442
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
443
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
444
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
445
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
446
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
447
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
448
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
449
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
450
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
451
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
452
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
453
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
454
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
455
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
456
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
457
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
458
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
459
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
460
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
461
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
462
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
463
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
464
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
465
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
466
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
467
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
468
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
469
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
470
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
471
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
472
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
473
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
474
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
475
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
476
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
477
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
478
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
479
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
480
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
481
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
482
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
483
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
484
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
485
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
486
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
487
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
488
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
489
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
490
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
491
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
492
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
493
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
494
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
495
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
496
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
497
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
498
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
499
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
500
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
501
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
502
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
503
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
504
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
505
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
506
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
507
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
508
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
509
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
510
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
511
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
512
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
513
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
514
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
515
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
516
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
517
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
518
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
519
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
520
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
521
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
522
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
523
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
524
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
525
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
526
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
527
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
528
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
529
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
530
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
531
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
532
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
533
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
534
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
535
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
536
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
537
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
538
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
539
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
540
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
541
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
542
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
543
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
544
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
545
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
546
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
547
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
548
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
549
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
550
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
551
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
552
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
553
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
554
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
555
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
556
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
557
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
558
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
559
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
560
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
561
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
562
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
563
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
564
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
565
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
566
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
567
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
568
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
569
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
570
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
571
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
572
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
573
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
574
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
575
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
576
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
577
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
578
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
579
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
580
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
581
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
582
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
583
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
584
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
585
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
586
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
587
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
588
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
589
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
590
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
591
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
592
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
593
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
594
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
595
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
596
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
597
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
598
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
599
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
600
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
601
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
602
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
603
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
604
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
605
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
606
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
607
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
608
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
609
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
610
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
611
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
612
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
613
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
614
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
615
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
616
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
617
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
618
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
619
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
620
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
621
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
622
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
623
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
624
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
625
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
626
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
627
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
628
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
629
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
630
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
631
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
632
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
633
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
634
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
635
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
636
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
637
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
638
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
639
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
640
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
641
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
642
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
643
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
644
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
645
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
646
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
647
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
648
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
649
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
650
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
651
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
652
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
653
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
654
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
655
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
656
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
657
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
658
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
659
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
660
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
661
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
662
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
663
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
664
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
665
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
666
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
667
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
668
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
669
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
670
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
671
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
672
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
673
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
674
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
675
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
676
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
677
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
678
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
679
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
680
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
681
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
682
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
683
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
684
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
685
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
686
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
687
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
688
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
689
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
690
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
691
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
692
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
693
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
694
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
695
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
696
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
697
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
698
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
699
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
700
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
701
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
702
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
703
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
704
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
705
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
706
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
707
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
708
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
709
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
710
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
711
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
712
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
713
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
714
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
715
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
716
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
717
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
718
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
719
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
720
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
721
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
722
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
723
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
724
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
725
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
726
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
727
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
728
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
729
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
730
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
731
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
732
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
733
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
734
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
735
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
736
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
737
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
738
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
739
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
740
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
741
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
742
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
743
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
744
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
745
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
746
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
747
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
748
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
749
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
750
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
751
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
752
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
753
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
754
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
755
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
756
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
757
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
758
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
759
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
760
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
761
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
762
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
763
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
764
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
765
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
766
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
767
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
768
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
769
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
770
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
771
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
772
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
773
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
774
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
775
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
776
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
777
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
778
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
779
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
780
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
781
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
782
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
783
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
784
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
785
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
786
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
787
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
788
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
789
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
790
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
791
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
792
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
793
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
794
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
795
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
796
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
797
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
798
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
799
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
800
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
801
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
802
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
803
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
804
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
805
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
806
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
807
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
808
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
809
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
810
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
811
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
812
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
813
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
814
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
815
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
816
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
817
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
818
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
819
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
820
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
821
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
822
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
823
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
824
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
825
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
826
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
827
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
828
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
829
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
830
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
831
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
832
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
833
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
834
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
835
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
836
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
837
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
838
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
839
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
840
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
841
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
842
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
843
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
844
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
845
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
846
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
847
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
848
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
849
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
850
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
851
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
852
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
853
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
854
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
855
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
856
WARNING:Xst:1290 - Hierarchical block  is unconnected in block .
857
   It will be removed from the design.
858
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
859
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
860
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
861
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
862
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
863
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
864
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
865
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
866
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
867
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
868
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
869
 
870
=========================================================================
871
Advanced HDL Synthesis Report
872
 
873
Macro Statistics
874
# FSMs                                                 : 1
875
# Adders/Subtractors                                   : 2
876
 10-bit adder                                          : 1
877
 5-bit adder                                           : 1
878
# Registers                                            : 1002
879
 Flip-Flops                                            : 1002
880
# Comparators                                          : 10
881
 10-bit comparator equal                               : 9
882
 5-bit comparator equal                                : 1
883
 
884
=========================================================================
885
 
886
=========================================================================
887
*                         Low Level Synthesis                           *
888
=========================================================================
889
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
890
WARNING:Xst:1426 - The value init of the FF/Latch datafifowrite/custom_fifo_dp8/addr_rd_1 hinder the constant cleaning in the block sport_top.
891
   You should achieve better results by setting this init to 1.
892
WARNING:Xst:1426 - The value init of the FF/Latch datafifowrite/custom_fifo_dp7/addr_rd_1 hinder the constant cleaning in the block sport_top.
893
   You should achieve better results by setting this init to 1.
894
WARNING:Xst:1426 - The value init of the FF/Latch datafifowrite/custom_fifo_dp6/addr_rd_1 hinder the constant cleaning in the block sport_top.
895
   You should achieve better results by setting this init to 1.
896
WARNING:Xst:1426 - The value init of the FF/Latch datafifowrite/custom_fifo_dp5/addr_rd_1 hinder the constant cleaning in the block sport_top.
897
   You should achieve better results by setting this init to 1.
898
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
899
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
900
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
901
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
902
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
903
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
904
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
905
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
906
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
907
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
908
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
909
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
910
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
911
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
912
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
913
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
914
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
915
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
916
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
917
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
918
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
919
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
920
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
921
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
922
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
923
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
924
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
925
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
926
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
927
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
928
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
929
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
930
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
931
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
932
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
933
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
934
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
935
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
936
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
937
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
938
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
939
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
940
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
941
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
942
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
943
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
944
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
945
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
946
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
947
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
948
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
949
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
950
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
951
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
952
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
953
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
954
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
955
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
956
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
957
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
958
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
959
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
960
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
961
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
962
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
963
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
964
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
965
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
966
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
967
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
968
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
969
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
970
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
971
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
972
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
973
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
974
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
975
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
976
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
977
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
978
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
979
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
980
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
981
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
982
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
983
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
984
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
985
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
986
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
987
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
988
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
989
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
990
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
991
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
992
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
993
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
994
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
995
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
996
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
997
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
998
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
999
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1000
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1001
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1002
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1003
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1004
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1005
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1006
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1007
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1008
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1009
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1010
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1011
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1012
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1013
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1014
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1015
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1016
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1017
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1018
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1019
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1020
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1021
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1022
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1023
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1024
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1025
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1026
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1027
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1028
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1029
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1030
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1031
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1032
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1033
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1034
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1035
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1036
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1037
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
1038
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1039
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1040
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1041
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1042
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1043
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1044
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1045
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1046
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1047
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1048
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1049
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1050
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1051
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1052
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1053
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1054
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1055
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1056
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1057
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1058
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1059
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1060
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1061
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1062
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1063
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1064
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1065
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1066
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1067
   This instance will be removed from the design along with all underlying logic
1068
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1069
   This instance will be removed from the design along with all underlying logic
1070
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1071
   This instance will be removed from the design along with all underlying logic
1072
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1073
   This instance will be removed from the design along with all underlying logic
1074
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1075
   This instance will be removed from the design along with all underlying logic
1076
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1077
   This instance will be removed from the design along with all underlying logic
1078
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1079
   This instance will be removed from the design along with all underlying logic
1080
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1081
   This instance will be removed from the design along with all underlying logic
1082
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1083
   This instance will be removed from the design along with all underlying logic
1084
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1085
   This instance will be removed from the design along with all underlying logic
1086
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1087
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1088
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1089
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1090
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1091
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1092
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1093
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1094
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1095
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1096
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1097
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1098
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1099
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1100
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1101
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1102
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1103
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1104
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1105
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1106
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1107
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1108
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1109
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1110
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1111
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1112
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1113
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1114
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1115
   This instance will be removed from the design along with all underlying logic
1116
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1117
   This instance will be removed from the design along with all underlying logic
1118
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1119
   This instance will be removed from the design along with all underlying logic
1120
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1121
   This instance will be removed from the design along with all underlying logic
1122
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1123
   This instance will be removed from the design along with all underlying logic
1124
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1125
   This instance will be removed from the design along with all underlying logic
1126
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1127
   This instance will be removed from the design along with all underlying logic
1128
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1129
   This instance will be removed from the design along with all underlying logic
1130
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1131
   This instance will be removed from the design along with all underlying logic
1132
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1133
   This instance will be removed from the design along with all underlying logic
1134
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1135
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1136
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1137
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1138
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1139
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1140
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1141
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1142
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1143
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1144
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1145
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1146
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1147
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1148
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1149
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1150
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1151
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1152
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1153
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1154
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1155
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1156
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1157
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1158
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1159
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1160
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1161
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1162
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1163
   This instance will be removed from the design along with all underlying logic
1164
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1165
   This instance will be removed from the design along with all underlying logic
1166
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1167
   This instance will be removed from the design along with all underlying logic
1168
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1169
   This instance will be removed from the design along with all underlying logic
1170
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1171
   This instance will be removed from the design along with all underlying logic
1172
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1173
   This instance will be removed from the design along with all underlying logic
1174
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1175
   This instance will be removed from the design along with all underlying logic
1176
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1177
   This instance will be removed from the design along with all underlying logic
1178
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1179
   This instance will be removed from the design along with all underlying logic
1180
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1181
   This instance will be removed from the design along with all underlying logic
1182
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1183
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1184
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1185
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1186
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1187
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1188
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1189
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1190
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1191
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1192
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1193
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1194
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1195
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1196
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1197
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1198
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1199
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1200
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1201
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1202
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1203
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1204
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1205
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1206
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1207
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1208
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1209
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1210
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1211
   This instance will be removed from the design along with all underlying logic
1212
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1213
   This instance will be removed from the design along with all underlying logic
1214
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1215
   This instance will be removed from the design along with all underlying logic
1216
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1217
   This instance will be removed from the design along with all underlying logic
1218
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1219
   This instance will be removed from the design along with all underlying logic
1220
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1221
   This instance will be removed from the design along with all underlying logic
1222
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1223
   This instance will be removed from the design along with all underlying logic
1224
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1225
   This instance will be removed from the design along with all underlying logic
1226
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1227
   This instance will be removed from the design along with all underlying logic
1228
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
1229
   This instance will be removed from the design along with all underlying logic
1230
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1231
   This instance will be removed from the design along with all underlying logic
1232
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1233
   This instance will be removed from the design along with all underlying logic
1234
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1235
   This instance will be removed from the design along with all underlying logic
1236
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1237
   This instance will be removed from the design along with all underlying logic
1238
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1239
   This instance will be removed from the design along with all underlying logic
1240
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1241
   This instance will be removed from the design along with all underlying logic
1242
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1243
   This instance will be removed from the design along with all underlying logic
1244
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1245
   This instance will be removed from the design along with all underlying logic
1246
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1247
   This instance will be removed from the design along with all underlying logic
1248
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1249
   This instance will be removed from the design along with all underlying logic
1250
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1251
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1252
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1253
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1254
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1255
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1256
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1257
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1258
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1259
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1260
   This instance will be removed from the design along with all underlying logic
1261
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1262
   This instance will be removed from the design along with all underlying logic
1263
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1264
   This instance will be removed from the design along with all underlying logic
1265
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1266
   This instance will be removed from the design along with all underlying logic
1267
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1268
   This instance will be removed from the design along with all underlying logic
1269
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1270
   This instance will be removed from the design along with all underlying logic
1271
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1272
   This instance will be removed from the design along with all underlying logic
1273
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1274
   This instance will be removed from the design along with all underlying logic
1275
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1276
   This instance will be removed from the design along with all underlying logic
1277
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1278
   This instance will be removed from the design along with all underlying logic
1279
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1280
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1281
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1282
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1283
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1284
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1285
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1286
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1287
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1288
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1289
   This instance will be removed from the design along with all underlying logic
1290
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1291
   This instance will be removed from the design along with all underlying logic
1292
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1293
   This instance will be removed from the design along with all underlying logic
1294
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1295
   This instance will be removed from the design along with all underlying logic
1296
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1297
   This instance will be removed from the design along with all underlying logic
1298
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1299
   This instance will be removed from the design along with all underlying logic
1300
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1301
   This instance will be removed from the design along with all underlying logic
1302
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1303
   This instance will be removed from the design along with all underlying logic
1304
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1305
   This instance will be removed from the design along with all underlying logic
1306
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1307
   This instance will be removed from the design along with all underlying logic
1308
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1309
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1310
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1311
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1312
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1313
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1314
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1315
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1316
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1317
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1318
   This instance will be removed from the design along with all underlying logic
1319
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1320
   This instance will be removed from the design along with all underlying logic
1321
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1322
   This instance will be removed from the design along with all underlying logic
1323
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1324
   This instance will be removed from the design along with all underlying logic
1325
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1326
   This instance will be removed from the design along with all underlying logic
1327
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1328
   This instance will be removed from the design along with all underlying logic
1329
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1330
   This instance will be removed from the design along with all underlying logic
1331
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1332
   This instance will be removed from the design along with all underlying logic
1333
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1334
   This instance will be removed from the design along with all underlying logic
1335
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance  of the block  are unconnected in block .
1336
   This instance will be removed from the design along with all underlying logic
1337
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1338
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1339
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1340
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1341
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1342
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1343
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1344
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1345
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
1346
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1347
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1348
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1349
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1350
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1351
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1352
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1353
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1354
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1355
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1356
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1357
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1358
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1359
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1360
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1361
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1362
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1363
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1364
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1365
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1366
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1367
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1368
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1369
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1370
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1371
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1372
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1373
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1374
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1375
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1376
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
1377
WARNING:Xst:2042 - Unit mem_byte: 8 internal tristates are replaced by logic (pull-up yes): dout<0>, dout<1>, dout<2>, dout<3>, dout<4>, dout<5>, dout<6>, dout<7>.
1378
 
1379
Optimizing unit  ...
1380
 
1381
Optimizing unit  ...
1382
 
1383
Mapping all equations...
1384
Building and optimizing final netlist ...
1385
Found area constraint ratio of 100 (+ 5) on block sport_top, actual ratio is 0.
1386
 
1387
Final Macro Processing ...
1388
 
1389
=========================================================================
1390
Final Register Report
1391
 
1392
Macro Statistics
1393
# Registers                                            : 44
1394
 Flip-Flops                                            : 44
1395
 
1396
=========================================================================
1397
 
1398
=========================================================================
1399
*                           Partition Report                            *
1400
=========================================================================
1401
 
1402
Partition Implementation Status
1403
-------------------------------
1404
 
1405
  No Partitions were found in this design.
1406
 
1407
-------------------------------
1408
 
1409
=========================================================================
1410
*                            Final Report                               *
1411
=========================================================================
1412
Final Results
1413
RTL Top Level Output File Name     : sport_top.ngr
1414
Top Level Output File Name         : sport_top
1415
Output Format                      : NGC
1416
Optimization Goal                  : Speed
1417
Keep Hierarchy                     : No
1418
 
1419
Design Statistics
1420
# IOs                              : 89
1421
 
1422
Cell Usage :
1423
# BELS                             : 78
1424
#      GND                         : 1
1425
#      INV                         : 1
1426
#      LUT1                        : 9
1427
#      LUT2                        : 5
1428
#      LUT2_D                      : 1
1429
#      LUT3                        : 13
1430
#      LUT3_D                      : 1
1431
#      LUT3_L                      : 1
1432
#      LUT4                        : 18
1433
#      LUT4_L                      : 1
1434
#      MUXCY                       : 14
1435
#      MUXF5                       : 2
1436
#      VCC                         : 1
1437
#      XORCY                       : 10
1438
# FlipFlops/Latches                : 44
1439
#      FDC                         : 22
1440
#      FDCE_1                      : 22
1441
# Clock Buffers                    : 3
1442
#      BUFG                        : 2
1443
#      BUFGP                       : 1
1444
# IO Buffers                       : 73
1445
#      IBUF                        : 31
1446
#      OBUF                        : 10
1447
#      OBUFT                       : 32
1448
=========================================================================
1449
 
1450
Device utilization summary:
1451
---------------------------
1452
 
1453
Selected Device : 3s700anfgg484-4
1454
 
1455
 Number of Slices:                       36  out of   5888     0%
1456
 Number of Slice Flip Flops:             42  out of  11776     0%
1457
 Number of 4 input LUTs:                 50  out of  11776     0%
1458
 Number of IOs:                          89
1459
 Number of bonded IOBs:                  74  out of    372    19%
1460
    IOB Flip Flops:                       2
1461
 Number of GCLKs:                         3  out of     24    12%
1462
 
1463
---------------------------
1464
Partition Resource Summary:
1465
---------------------------
1466
 
1467
  No Partitions were found in this design.
1468
 
1469
---------------------------
1470
 
1471
 
1472
=========================================================================
1473
TIMING REPORT
1474
 
1475
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
1476
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
1477
      GENERATED AFTER PLACE-and-ROUTE.
1478
 
1479
Clock Information:
1480
------------------
1481
-----------------------------------+------------------------+-------+
1482
Clock Signal                       | Clock buffer(FF name)  | Load  |
1483
-----------------------------------+------------------------+-------+
1484
txclk                              | IBUF+BUFG              | 19    |
1485
rxclk                              | IBUF+BUFG              | 1     |
1486
wb_clk_i                           | BUFGP                  | 24    |
1487
-----------------------------------+------------------------+-------+
1488
 
1489
Asynchronous Control Signals Information:
1490
----------------------------------------
1491
-----------------------------------+------------------------+-------+
1492
Control Signal                     | Buffer(FF name)        | Load  |
1493
-----------------------------------+------------------------+-------+
1494
wb_rst_i                           | IBUF                   | 24    |
1495
DTxPRI_OBUF(XST_GND:G)             | NONE(rxfs_rnm0)        | 20    |
1496
-----------------------------------+------------------------+-------+
1497
 
1498
Timing Summary:
1499
---------------
1500
Speed Grade: -4
1501
 
1502
   Minimum period: 5.112ns (Maximum Frequency: 195.618MHz)
1503
   Minimum input arrival time before clock: 4.927ns
1504
   Maximum output required time after clock: 6.742ns
1505
   Maximum combinational path delay: 8.487ns
1506
 
1507
Timing Detail:
1508
--------------
1509
All values displayed in nanoseconds (ns)
1510
 
1511
=========================================================================
1512
Timing constraint: Default period analysis for Clock 'txclk'
1513
  Clock period: 5.112ns (frequency: 195.618MHz)
1514
  Total number of paths / destination ports: 128 / 18
1515
-------------------------------------------------------------------------
1516
Delay:               5.112ns (Levels of Logic = 11)
1517
  Source:            txpacketCnt_tx_1 (FF)
1518
  Destination:       txpacketCnt_tx_9 (FF)
1519
  Source Clock:      txclk rising
1520
  Destination Clock: txclk rising
1521
 
1522
  Data Path: txpacketCnt_tx_1 to txpacketCnt_tx_9
1523
                                Gate     Net
1524
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1525
    ----------------------------------------  ------------
1526
     FDC:C->Q              2   0.591   0.590  txpacketCnt_tx_1 (txpacketCnt_tx_1)
1527
     LUT1:I0->O            1   0.648   0.000  Madd_txpacketCnt_tx_addsub0000_cy<1>_rt (Madd_txpacketCnt_tx_addsub0000_cy<1>_rt)
1528
     MUXCY:S->O            1   0.632   0.000  Madd_txpacketCnt_tx_addsub0000_cy<1> (Madd_txpacketCnt_tx_addsub0000_cy<1>)
1529
     MUXCY:CI->O           1   0.065   0.000  Madd_txpacketCnt_tx_addsub0000_cy<2> (Madd_txpacketCnt_tx_addsub0000_cy<2>)
1530
     MUXCY:CI->O           1   0.065   0.000  Madd_txpacketCnt_tx_addsub0000_cy<3> (Madd_txpacketCnt_tx_addsub0000_cy<3>)
1531
     MUXCY:CI->O           1   0.065   0.000  Madd_txpacketCnt_tx_addsub0000_cy<4> (Madd_txpacketCnt_tx_addsub0000_cy<4>)
1532
     MUXCY:CI->O           1   0.065   0.000  Madd_txpacketCnt_tx_addsub0000_cy<5> (Madd_txpacketCnt_tx_addsub0000_cy<5>)
1533
     MUXCY:CI->O           1   0.065   0.000  Madd_txpacketCnt_tx_addsub0000_cy<6> (Madd_txpacketCnt_tx_addsub0000_cy<6>)
1534
     MUXCY:CI->O           1   0.065   0.000  Madd_txpacketCnt_tx_addsub0000_cy<7> (Madd_txpacketCnt_tx_addsub0000_cy<7>)
1535
     MUXCY:CI->O           0   0.065   0.000  Madd_txpacketCnt_tx_addsub0000_cy<8> (Madd_txpacketCnt_tx_addsub0000_cy<8>)
1536
     XORCY:CI->O           1   0.844   0.452  Madd_txpacketCnt_tx_addsub0000_xor<9> (txpacketCnt_tx_addsub0000<9>)
1537
     LUT3:I2->O            1   0.648   0.000  txpacketCnt_tx_mux0000<9>1 (txpacketCnt_tx_mux0000<9>)
1538
     FDC:D                     0.252          txpacketCnt_tx_9
1539
    ----------------------------------------
1540
    Total                      5.112ns (4.070ns logic, 1.042ns route)
1541
                                       (79.6% logic, 20.4% route)
1542
 
1543
=========================================================================
1544
Timing constraint: Default period analysis for Clock 'wb_clk_i'
1545
  Clock period: 3.042ns (frequency: 328.731MHz)
1546
  Total number of paths / destination ports: 22 / 22
1547
-------------------------------------------------------------------------
1548
Delay:               3.042ns (Levels of Logic = 1)
1549
  Source:            wb_interface/txreg_20 (FF)
1550
  Destination:       wb_interface/txreg_20 (FF)
1551
  Source Clock:      wb_clk_i falling
1552
  Destination Clock: wb_clk_i falling
1553
 
1554
  Data Path: wb_interface/txreg_20 to wb_interface/txreg_20
1555
                                Gate     Net
1556
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1557
    ----------------------------------------  ------------
1558
     FDCE_1:C->Q           1   0.591   0.423  wb_interface/txreg_20 (wb_interface/txreg_20)
1559
     LUT4:I3->O           18   0.648   1.068  wb_interface/txreg_and00001 (wb_interface/txreg_and0000)
1560
     FDCE_1:CE                 0.312          wb_interface/txreg_0
1561
    ----------------------------------------
1562
    Total                      3.042ns (1.551ns logic, 1.491ns route)
1563
                                       (51.0% logic, 49.0% route)
1564
 
1565
=========================================================================
1566
Timing constraint: Default OFFSET IN BEFORE for Clock 'wb_clk_i'
1567
  Total number of paths / destination ports: 228 / 46
1568
-------------------------------------------------------------------------
1569
Offset:              4.927ns (Levels of Logic = 4)
1570
  Source:            wb_adr_i<4> (PAD)
1571
  Destination:       wb_interface/txreg_20 (FF)
1572
  Destination Clock: wb_clk_i falling
1573
 
1574
  Data Path: wb_adr_i<4> to wb_interface/txreg_20
1575
                                Gate     Net
1576
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1577
    ----------------------------------------  ------------
1578
     IBUF:I->O             1   0.849   0.563  wb_adr_i_4_IBUF (wb_adr_i_4_IBUF)
1579
     LUT4:I0->O            1   0.648   0.000  wb_interface/txreg_and000021 (wb_interface/txreg_and00002)
1580
     MUXF5:I0->O           3   0.276   0.563  wb_interface/txreg_and00002_f5 (N3)
1581
     LUT4:I2->O           18   0.648   1.068  wb_interface/txreg_and00001 (wb_interface/txreg_and0000)
1582
     FDCE_1:CE                 0.312          wb_interface/txreg_0
1583
    ----------------------------------------
1584
    Total                      4.927ns (2.733ns logic, 2.194ns route)
1585
                                       (55.5% logic, 44.5% route)
1586
 
1587
=========================================================================
1588
Timing constraint: Default OFFSET OUT AFTER for Clock 'wb_clk_i'
1589
  Total number of paths / destination ports: 7 / 6
1590
-------------------------------------------------------------------------
1591
Offset:              6.742ns (Levels of Logic = 2)
1592
  Source:            wb_interface/txreg_17 (FF)
1593
  Destination:       TFSx (PAD)
1594
  Source Clock:      wb_clk_i falling
1595
 
1596
  Data Path: wb_interface/txreg_17 to TFSx
1597
                                Gate     Net
1598
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1599
    ----------------------------------------  ------------
1600
     FDCE_1:C->Q           1   0.591   0.563  wb_interface/txreg_17 (wb_interface/txreg_17)
1601
     LUT2:I0->O            1   0.648   0.420  TFSx1 (TFSx_OBUF)
1602
     OBUF:I->O                 4.520          TFSx_OBUF (TFSx)
1603
    ----------------------------------------
1604
    Total                      6.742ns (5.759ns logic, 0.983ns route)
1605
                                       (85.4% logic, 14.6% route)
1606
 
1607
=========================================================================
1608
Timing constraint: Default OFFSET OUT AFTER for Clock 'txclk'
1609
  Total number of paths / destination ports: 1 / 1
1610
-------------------------------------------------------------------------
1611
Offset:              6.674ns (Levels of Logic = 2)
1612
  Source:            txfs_rnm0 (FF)
1613
  Destination:       TFSx (PAD)
1614
  Source Clock:      txclk rising
1615
 
1616
  Data Path: txfs_rnm0 to TFSx
1617
                                Gate     Net
1618
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1619
    ----------------------------------------  ------------
1620
     FDC:C->Q              1   0.591   0.500  txfs_rnm0 (txfs_rnm0)
1621
     LUT2:I1->O            1   0.643   0.420  TFSx1 (TFSx_OBUF)
1622
     OBUF:I->O                 4.520          TFSx_OBUF (TFSx)
1623
    ----------------------------------------
1624
    Total                      6.674ns (5.754ns logic, 0.920ns route)
1625
                                       (86.2% logic, 13.8% route)
1626
 
1627
=========================================================================
1628
Timing constraint: Default OFFSET OUT AFTER for Clock 'rxclk'
1629
  Total number of paths / destination ports: 1 / 1
1630
-------------------------------------------------------------------------
1631
Offset:              6.674ns (Levels of Logic = 2)
1632
  Source:            rxfs_rnm0 (FF)
1633
  Destination:       RFSx (PAD)
1634
  Source Clock:      rxclk rising
1635
 
1636
  Data Path: rxfs_rnm0 to RFSx
1637
                                Gate     Net
1638
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1639
    ----------------------------------------  ------------
1640
     FDC:C->Q              1   0.591   0.500  rxfs_rnm0 (rxfs_rnm0)
1641
     LUT2:I1->O            1   0.643   0.420  RFSx1 (RFSx_OBUF)
1642
     OBUF:I->O                 4.520          RFSx_OBUF (RFSx)
1643
    ----------------------------------------
1644
    Total                      6.674ns (5.754ns logic, 0.920ns route)
1645
                                       (86.2% logic, 13.8% route)
1646
 
1647
=========================================================================
1648
Timing constraint: Default path analysis
1649
  Total number of paths / destination ports: 104 / 34
1650
-------------------------------------------------------------------------
1651
Delay:               8.487ns (Levels of Logic = 5)
1652
  Source:            wb_adr_i<4> (PAD)
1653
  Destination:       wb_dat_o<0> (PAD)
1654
 
1655
  Data Path: wb_adr_i<4> to wb_dat_o<0>
1656
                                Gate     Net
1657
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1658
    ----------------------------------------  ------------
1659
     IBUF:I->O             1   0.849   0.563  wb_adr_i_4_IBUF (wb_adr_i_4_IBUF)
1660
     LUT4:I0->O            1   0.648   0.000  wb_interface/txreg_and000021 (wb_interface/txreg_and00002)
1661
     MUXF5:I0->O           3   0.276   0.563  wb_interface/txreg_and00002_f5 (N3)
1662
     LUT4:I2->O            1   0.648   0.420  wb_interface/wb_dat_rdbk1 (wb_interface/wb_dat_rdbk)
1663
     OBUFT:I->O                4.520          wb_dat_o_0_OBUFT (wb_dat_o<0>)
1664
    ----------------------------------------
1665
    Total                      8.487ns (6.941ns logic, 1.546ns route)
1666
                                       (81.8% logic, 18.2% route)
1667
 
1668
=========================================================================
1669
 
1670
 
1671
Total REAL time to Xst completion: 13.00 secs
1672
Total CPU time to Xst completion: 12.50 secs
1673
 
1674
-->
1675
 
1676
Total memory usage is 287372 kilobytes
1677
 
1678
Number of errors   :    0 (   0 filtered)
1679
Number of warnings :  990 (   0 filtered)
1680
Number of infos    :    1 (   0 filtered)
1681
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.