OpenCores
URL https://opencores.org/ocsvn/sport/sport/trunk

Subversion Repositories sport

[/] [sport/] [trunk/] [syn/] [xilinx/] [vivado/] [sport_top/] [sport_top.runs/] [impl_1/] [sport_top.tcl] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 jeaander
proc start_step { step } {
2
  set stopFile ".stop.rst"
3
  if {[file isfile .stop.rst]} {
4
    puts ""
5
    puts "*** Halting run - EA reset detected ***"
6
    puts ""
7
    puts ""
8
    return -code error
9
  }
10
  set beginFile ".$step.begin.rst"
11
  set platform "$::tcl_platform(platform)"
12
  set user "$::tcl_platform(user)"
13
  set pid [pid]
14
  set host ""
15
  if { [string equal $platform unix] } {
16
    if { [info exist ::env(HOSTNAME)] } {
17
      set host $::env(HOSTNAME)
18
    }
19
  } else {
20
    if { [info exist ::env(COMPUTERNAME)] } {
21
      set host $::env(COMPUTERNAME)
22
    }
23
  }
24
  set ch [open $beginFile w]
25
  puts $ch "<?xml version=\"1.0\"?>"
26
  puts $ch "<ProcessHandle Version=\"1\" Minor=\"0\">"
27
  puts $ch "    <Process Command=\".planAhead.\" Owner=\"$user\" Host=\"$host\" Pid=\"$pid\">"
28
  puts $ch "    </Process>"
29
  puts $ch "</ProcessHandle>"
30
  close $ch
31
}
32
 
33
proc end_step { step } {
34
  set endFile ".$step.end.rst"
35
  set ch [open $endFile w]
36
  close $ch
37
}
38
 
39
proc step_failed { step } {
40
  set endFile ".$step.error.rst"
41
  set ch [open $endFile w]
42
  close $ch
43
}
44
 
45
set_msg_config -id {HDL 9-1061} -limit 100000
46
set_msg_config -id {HDL 9-1654} -limit 100000
47
 
48
start_step init_design
49
set rc [catch {
50
  create_msg_db init_design.pb
51
  set_param gui.test TreeTableDev
52
  set_property design_mode GateLvl [current_fileset]
53
  set_property webtalk.parent_dir C:/Users/jeffA/Desktop/rtl/sport/trunk/syn/xilinx/vivado/sport_top/sport_top.cache/wt [current_project]
54
  set_property parent.project_dir C:/Users/jeffA/Desktop/rtl/sport/trunk/syn/xilinx/vivado/sport_top [current_project]
55
  add_files -quiet C:/Users/jeffA/Desktop/rtl/sport/trunk/syn/xilinx/vivado/sport_top/sport_top.runs/synth_1/sport_top.dcp
56
  read_xdc C:/Users/jeffA/Desktop/rtl/sport/trunk/syn/xilinx/vivado/sport_top/sport_top.srcs/constrs_1/new/sport_top.xdc
57
  link_design -top sport_top -part xc7vx485tffg1157-1
58
  close_msg_db -file init_design.pb
59
} RESULT]
60
if {$rc} {
61
  step_failed init_design
62
  return -code error $RESULT
63
} else {
64
  end_step init_design
65
}
66
 
67
start_step opt_design
68
set rc [catch {
69
  create_msg_db opt_design.pb
70
  catch {write_debug_probes -quiet -force debug_nets}
71
  catch {update_ip_catalog -quiet -current_ip_cache {c:/Users/jeffA/Desktop/rtl/sport/trunk/syn/xilinx/vivado/sport_top/sport_top.cache} }
72
  opt_design
73
  write_checkpoint -force sport_top_opt.dcp
74
  close_msg_db -file opt_design.pb
75
} RESULT]
76
if {$rc} {
77
  step_failed opt_design
78
  return -code error $RESULT
79
} else {
80
  end_step opt_design
81
}
82
 
83
start_step place_design
84
set rc [catch {
85
  create_msg_db place_design.pb
86
  place_design
87
  write_checkpoint -force sport_top_placed.dcp
88
  catch { report_io -file sport_top_io_placed.rpt }
89
  catch { report_clock_utilization -file sport_top_clock_utilization_placed.rpt }
90
  catch { report_utilization -file sport_top_utilization_placed.rpt -pb sport_top_utilization_placed.pb }
91
  catch { report_control_sets -verbose -file sport_top_control_sets_placed.rpt }
92
  close_msg_db -file place_design.pb
93
} RESULT]
94
if {$rc} {
95
  step_failed place_design
96
  return -code error $RESULT
97
} else {
98
  end_step place_design
99
}
100
 
101
start_step route_design
102
set rc [catch {
103
  create_msg_db route_design.pb
104
  route_design
105
  write_checkpoint -force sport_top_routed.dcp
106
  catch { report_drc -file sport_top_drc_routed.rpt -pb sport_top_drc_routed.pb }
107
  catch { report_timing_summary -warn_on_violation -file sport_top_timing_summary_routed.rpt -pb sport_top_timing_summary_routed.pb }
108
  catch { report_power -file sport_top_power_routed.rpt -pb sport_top_power_summary_routed.pb }
109
  catch { report_route_status -file sport_top_route_status.rpt -pb sport_top_route_status.pb }
110
  close_msg_db -file route_design.pb
111
} RESULT]
112
if {$rc} {
113
  step_failed route_design
114
  return -code error $RESULT
115
} else {
116
  end_step route_design
117
}
118
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.