OpenCores
URL https://opencores.org/ocsvn/sport/sport/trunk

Subversion Repositories sport

[/] [sport/] [trunk/] [syn/] [xilinx/] [vivado/] [sport_top/] [sport_top.srcs/] [constrs_1/] [new/] [sport_top.xdc] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 jeaander
#only true IO are zero_o and one_o pins; rest stay on-chip through WB bus
2
set_property IOSTANDARD LVCMOS18 [get_ports {DTxPRI DTxSEC TSCLKx TFSx DRxPRI DRxSEC RSCLKx RFSx}]
3
#set_property PACKAGE_PIN  [get_ports DTxPRI]
4
#set_property PACKAGE_PIN  [get_ports DTxSEC]
5
#set_property PACKAGE_PIN  [get_ports TSCLKx]
6
#set_property PACKAGE_PIN  [get_ports TFSx]
7
#set_property PACKAGE_PIN  [get_ports DRxPRI]
8
#set_property PACKAGE_PIN  [get_ports DRxSEC]
9
#set_property PACKAGE_PIN  [get_ports RSCLKx]
10
#set_property PACKAGE_PIN  [get_ports RFSx]
11
 
12
#timing constraints
13
#3 independant clocks; wb_clk drives WB; rxclk drives rx logic, txclk drivves tx logic
14
#data flowing between the clock domains is gated with dual port FIFOs
15
#config data that will change infrequently and is seen as static by other clock domains is gated with FF
16
create_clock -period 10 [get_ports wb_clk_i]
17
create_clock -period 20 [get_ports rxclk]
18
create_clock -period 20 [get_ports txclk]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.