OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [syn/] [cadence/] [results/] [t6507lp_io.sdc] - Blame information for rev 264

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 264 creep
# ####################################################################
2
 
3
#  Created by Encounter(R) RTL Compiler v07.20-s009_1 on Mon Aug 31 11:31:48 BRT 2009
4
 
5
# ####################################################################
6
 
7
set sdc_version 1.7
8
 
9
set_units -capacitance 1000.0fF
10
set_units -time 1000.0ps
11
 
12
# Set the current design
13
current_design t6507lp_io
14
 
15
create_clock -name "1MHz" -add -period 1000.0 -waveform {0.0 500.0} [get_ports clk]
16
set_clock_transition -max 0.1 [get_clocks 1MHz]
17
set_clock_gating_check -setup 0.0
18
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {address[0]}]
19
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {address[1]}]
20
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {address[2]}]
21
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {address[3]}]
22
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {address[4]}]
23
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {address[5]}]
24
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {address[6]}]
25
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {address[7]}]
26
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {address[8]}]
27
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {address[9]}]
28
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {address[10]}]
29
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {address[11]}]
30
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {address[12]}]
31
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_out[0]}]
32
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_out[1]}]
33
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_out[2]}]
34
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_out[3]}]
35
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_out[4]}]
36
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_out[5]}]
37
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_out[6]}]
38
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_out[7]}]
39
set_output_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports rw_mem]
40
set_input_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_in[0]}]
41
set_input_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_in[1]}]
42
set_input_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_in[2]}]
43
set_input_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_in[3]}]
44
set_input_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_in[4]}]
45
set_input_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_in[5]}]
46
set_input_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_in[6]}]
47
set_input_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports {data_in[7]}]
48
set_input_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports scan_enable]
49
set_input_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports reset_n]
50
set_input_delay -clock [get_clocks 1MHz] -add_delay 0.1 [get_ports clk]
51
set_max_dynamic_power 3000000.0
52
set_ideal_network [get_ports reset_n]
53
set_ideal_network [get_ports scan_enable]
54
set_ideal_network [get_pins reset_n_pad/Y]
55
set_ideal_network [get_pins scan_pad/Y]
56
set_wire_load_selection_group "2_metls_routing" -library "D_CELLSL_3_3V"
57
set_dont_use [get_lib_cells D_CELLSL_3_3V/ANTENNACELL10L]
58
set_dont_use [get_lib_cells D_CELLSL_3_3V/ANTENNACELL25L]
59
set_dont_use [get_lib_cells D_CELLSL_3_3V/ANTENNACELL2L]
60
set_dont_use [get_lib_cells D_CELLSL_3_3V/ANTENNACELL50L]
61
set_dont_use [get_lib_cells D_CELLSL_3_3V/ANTENNACELL5L]
62
set_dont_use [get_lib_cells D_CELLSL_3_3V/ANTENNACELLN10L]
63
set_dont_use [get_lib_cells D_CELLSL_3_3V/ANTENNACELLN25L]
64
set_dont_use [get_lib_cells D_CELLSL_3_3V/ANTENNACELLN2L]
65
set_dont_use [get_lib_cells D_CELLSL_3_3V/ANTENNACELLN50L]
66
set_dont_use [get_lib_cells D_CELLSL_3_3V/ANTENNACELLN5L]
67
set_dont_use [get_lib_cells D_CELLSL_3_3V/BUCLX16]
68
set_dont_use [get_lib_cells D_CELLSL_3_3V/BULX16]
69
set_dont_use [get_lib_cells D_CELLSL_3_3V/EN2LX1]
70
set_dont_use [get_lib_cells D_CELLSL_3_3V/INCLX16]
71
set_dont_use [get_lib_cells D_CELLSL_3_3V/INLX16]
72
set_dont_use [get_lib_cells D_CELLSL_3_3V/SIGNALHOLDL]
73
set_dont_use [get_lib_cells D_CELLSL_3_3V/FEED1L]
74
set_dont_use [get_lib_cells D_CELLSL_3_3V/FEED2L]
75
set_dont_use [get_lib_cells D_CELLSL_3_3V/FEED5L]
76
set_dont_use [get_lib_cells D_CELLSL_3_3V/FEED10L]
77
set_dont_use [get_lib_cells D_CELLSL_3_3V/FEED25L]
78
set_dont_use [get_lib_cells D_CELLSL_3_3V/FEED50L]
79
set_dont_use [get_lib_cells D_CELLSL_3_3V/FEEDCAP2L]
80
set_dont_use [get_lib_cells D_CELLSL_3_3V/FEEDCAP5L]
81
set_dont_use [get_lib_cells D_CELLSL_3_3V/FEEDCAP10L]
82
set_dont_use [get_lib_cells D_CELLSL_3_3V/FEEDCAP25L]
83
set_dont_use [get_lib_cells D_CELLSL_3_3V/FEEDCAP50L]
84
set_dont_use [get_lib_cells IO_CELLS_33/BBC16P]
85
set_dont_use [get_lib_cells IO_CELLS_33/BBC16SMP]
86
set_dont_use [get_lib_cells IO_CELLS_33/BBC16SP]
87
set_dont_use [get_lib_cells IO_CELLS_33/BBC1P]
88
set_dont_use [get_lib_cells IO_CELLS_33/BBC1SP]
89
set_dont_use [get_lib_cells IO_CELLS_33/BBC20P]
90
set_dont_use [get_lib_cells IO_CELLS_33/BBC20SMP]
91
set_dont_use [get_lib_cells IO_CELLS_33/BBC20SP]
92
set_dont_use [get_lib_cells IO_CELLS_33/BBC2P]
93
set_dont_use [get_lib_cells IO_CELLS_33/BBC2SP]
94
set_dont_use [get_lib_cells IO_CELLS_33/BBC4P]
95
set_dont_use [get_lib_cells IO_CELLS_33/BBC4SP]
96
set_dont_use [get_lib_cells IO_CELLS_33/BBC8P]
97
set_dont_use [get_lib_cells IO_CELLS_33/BBC8SMP]
98
set_dont_use [get_lib_cells IO_CELLS_33/BBC8SP]
99
set_dont_use [get_lib_cells IO_CELLS_33/BBCA16P]
100
set_dont_use [get_lib_cells IO_CELLS_33/BBCA16SMP]
101
set_dont_use [get_lib_cells IO_CELLS_33/BBCA16SP]
102
set_dont_use [get_lib_cells IO_CELLS_33/BBCA1P]
103
set_dont_use [get_lib_cells IO_CELLS_33/BBCA1SP]
104
set_dont_use [get_lib_cells IO_CELLS_33/BBCA20P]
105
set_dont_use [get_lib_cells IO_CELLS_33/BBCA20SMP]
106
set_dont_use [get_lib_cells IO_CELLS_33/BBCA20SP]
107
set_dont_use [get_lib_cells IO_CELLS_33/BBCA2P]
108
set_dont_use [get_lib_cells IO_CELLS_33/BBCA2SP]
109
set_dont_use [get_lib_cells IO_CELLS_33/BBCA4P]
110
set_dont_use [get_lib_cells IO_CELLS_33/BBCA4SP]
111
set_dont_use [get_lib_cells IO_CELLS_33/BBCA8P]
112
set_dont_use [get_lib_cells IO_CELLS_33/BBCA8SMP]
113
set_dont_use [get_lib_cells IO_CELLS_33/BBCA8SP]
114
set_dont_use [get_lib_cells IO_CELLS_33/BBCHD16P]
115
set_dont_use [get_lib_cells IO_CELLS_33/BBCHD16SMP]
116
set_dont_use [get_lib_cells IO_CELLS_33/BBCHD16SP]
117
set_dont_use [get_lib_cells IO_CELLS_33/BBCHD1P]
118
set_dont_use [get_lib_cells IO_CELLS_33/BBCHD1SP]
119
set_dont_use [get_lib_cells IO_CELLS_33/BBCHD20P]
120
set_dont_use [get_lib_cells IO_CELLS_33/BBCHD20SMP]
121
set_dont_use [get_lib_cells IO_CELLS_33/BBCHD20SP]
122
set_dont_use [get_lib_cells IO_CELLS_33/BBCHD2P]
123
set_dont_use [get_lib_cells IO_CELLS_33/BBCHD2SP]
124
set_dont_use [get_lib_cells IO_CELLS_33/BBCHD4P]
125
set_dont_use [get_lib_cells IO_CELLS_33/BBCHD4SP]
126
set_dont_use [get_lib_cells IO_CELLS_33/BBCHD8P]
127
set_dont_use [get_lib_cells IO_CELLS_33/BBCHD8SMP]
128
set_dont_use [get_lib_cells IO_CELLS_33/BBCHD8SP]
129
set_dont_use [get_lib_cells IO_CELLS_33/BBCUD16P]
130
set_dont_use [get_lib_cells IO_CELLS_33/BBCUD16SMP]
131
set_dont_use [get_lib_cells IO_CELLS_33/BBCUD16SP]
132
set_dont_use [get_lib_cells IO_CELLS_33/BBCUD1P]
133
set_dont_use [get_lib_cells IO_CELLS_33/BBCUD1SP]
134
set_dont_use [get_lib_cells IO_CELLS_33/BBCUD20P]
135
set_dont_use [get_lib_cells IO_CELLS_33/BBCUD20SMP]
136
set_dont_use [get_lib_cells IO_CELLS_33/BBCUD20SP]
137
set_dont_use [get_lib_cells IO_CELLS_33/BBCUD2P]
138
set_dont_use [get_lib_cells IO_CELLS_33/BBCUD2SP]
139
set_dont_use [get_lib_cells IO_CELLS_33/BBCUD4P]
140
set_dont_use [get_lib_cells IO_CELLS_33/BBCUD4SP]
141
set_dont_use [get_lib_cells IO_CELLS_33/BBCUD8P]
142
set_dont_use [get_lib_cells IO_CELLS_33/BBCUD8SMP]
143
set_dont_use [get_lib_cells IO_CELLS_33/BBCUD8SP]
144
set_dont_use [get_lib_cells IO_CELLS_33/BBL1P_3V]
145
set_dont_use [get_lib_cells IO_CELLS_33/BBL1SP_3V]
146
set_dont_use [get_lib_cells IO_CELLS_33/BBL2P_3V]
147
set_dont_use [get_lib_cells IO_CELLS_33/BBL2SP_3V]
148
set_dont_use [get_lib_cells IO_CELLS_33/BBL4P_3V]
149
set_dont_use [get_lib_cells IO_CELLS_33/BBL4SP_3V]
150
set_dont_use [get_lib_cells IO_CELLS_33/BBL8P_3V]
151
set_dont_use [get_lib_cells IO_CELLS_33/BBL8SMP_3V]
152
set_dont_use [get_lib_cells IO_CELLS_33/BBL8SP_3V]
153
set_dont_use [get_lib_cells IO_CELLS_33/BBLHD1P_3V]
154
set_dont_use [get_lib_cells IO_CELLS_33/BBLHD1SP_3V]
155
set_dont_use [get_lib_cells IO_CELLS_33/BBLHD2P_3V]
156
set_dont_use [get_lib_cells IO_CELLS_33/BBLHD2SP_3V]
157
set_dont_use [get_lib_cells IO_CELLS_33/BBLHD4P_3V]
158
set_dont_use [get_lib_cells IO_CELLS_33/BBLHD4SP_3V]
159
set_dont_use [get_lib_cells IO_CELLS_33/BBLHD8P_3V]
160
set_dont_use [get_lib_cells IO_CELLS_33/BBLHD8SMP_3V]
161
set_dont_use [get_lib_cells IO_CELLS_33/BBLHD8SP_3V]
162
set_dont_use [get_lib_cells IO_CELLS_33/BBLUD1P_3V]
163
set_dont_use [get_lib_cells IO_CELLS_33/BBLUD1SP_3V]
164
set_dont_use [get_lib_cells IO_CELLS_33/BBLUD2P_3V]
165
set_dont_use [get_lib_cells IO_CELLS_33/BBLUD2SP_3V]
166
set_dont_use [get_lib_cells IO_CELLS_33/BBLUD4P_3V]
167
set_dont_use [get_lib_cells IO_CELLS_33/BBLUD4SP_3V]
168
set_dont_use [get_lib_cells IO_CELLS_33/BBLUD8P_3V]
169
set_dont_use [get_lib_cells IO_CELLS_33/BBLUD8SMP_3V]
170
set_dont_use [get_lib_cells IO_CELLS_33/BBLUD8SP_3V]
171
set_dont_use [get_lib_cells IO_CELLS_33/BBS1P_3V]
172
set_dont_use [get_lib_cells IO_CELLS_33/BBS1SP_3V]
173
set_dont_use [get_lib_cells IO_CELLS_33/BBS2P_3V]
174
set_dont_use [get_lib_cells IO_CELLS_33/BBS2SP_3V]
175
set_dont_use [get_lib_cells IO_CELLS_33/BBS4P_3V]
176
set_dont_use [get_lib_cells IO_CELLS_33/BBS4SP_3V]
177
set_dont_use [get_lib_cells IO_CELLS_33/BBS8P_3V]
178
set_dont_use [get_lib_cells IO_CELLS_33/BBS8SMP_3V]
179
set_dont_use [get_lib_cells IO_CELLS_33/BBS8SP_3V]
180
set_dont_use [get_lib_cells IO_CELLS_33/BBSHD1P_3V]
181
set_dont_use [get_lib_cells IO_CELLS_33/BBSHD1SP_3V]
182
set_dont_use [get_lib_cells IO_CELLS_33/BBSHD2P_3V]
183
set_dont_use [get_lib_cells IO_CELLS_33/BBSHD2SP_3V]
184
set_dont_use [get_lib_cells IO_CELLS_33/BBSHD4P_3V]
185
set_dont_use [get_lib_cells IO_CELLS_33/BBSHD4SP_3V]
186
set_dont_use [get_lib_cells IO_CELLS_33/BBSHD8P_3V]
187
set_dont_use [get_lib_cells IO_CELLS_33/BBSHD8SMP_3V]
188
set_dont_use [get_lib_cells IO_CELLS_33/BBSHD8SP_3V]
189
set_dont_use [get_lib_cells IO_CELLS_33/BBSUD1P_3V]
190
set_dont_use [get_lib_cells IO_CELLS_33/BBSUD1SP_3V]
191
set_dont_use [get_lib_cells IO_CELLS_33/BBSUD2P_3V]
192
set_dont_use [get_lib_cells IO_CELLS_33/BBSUD2SP_3V]
193
set_dont_use [get_lib_cells IO_CELLS_33/BBSUD4P_3V]
194
set_dont_use [get_lib_cells IO_CELLS_33/BBSUD4SP_3V]
195
set_dont_use [get_lib_cells IO_CELLS_33/BBSUD8P_3V]
196
set_dont_use [get_lib_cells IO_CELLS_33/BBSUD8SMP_3V]
197
set_dont_use [get_lib_cells IO_CELLS_33/BBSUD8SP_3V]
198
set_dont_use [get_lib_cells IO_CELLS_33/BBT16P]
199
set_dont_use [get_lib_cells IO_CELLS_33/BBT16SMP]
200
set_dont_use [get_lib_cells IO_CELLS_33/BBT16SP]
201
set_dont_use [get_lib_cells IO_CELLS_33/BBT1P]
202
set_dont_use [get_lib_cells IO_CELLS_33/BBT1SP]
203
set_dont_use [get_lib_cells IO_CELLS_33/BBT20P]
204
set_dont_use [get_lib_cells IO_CELLS_33/BBT20SMP]
205
set_dont_use [get_lib_cells IO_CELLS_33/BBT20SP]
206
set_dont_use [get_lib_cells IO_CELLS_33/BBT2P]
207
set_dont_use [get_lib_cells IO_CELLS_33/BBT2SP]
208
set_dont_use [get_lib_cells IO_CELLS_33/BBT4P]
209
set_dont_use [get_lib_cells IO_CELLS_33/BBT4SP]
210
set_dont_use [get_lib_cells IO_CELLS_33/BBT8P]
211
set_dont_use [get_lib_cells IO_CELLS_33/BBT8SMP]
212
set_dont_use [get_lib_cells IO_CELLS_33/BBT8SP]
213
set_dont_use [get_lib_cells IO_CELLS_33/BBTHD16P]
214
set_dont_use [get_lib_cells IO_CELLS_33/BBTHD16SMP]
215
set_dont_use [get_lib_cells IO_CELLS_33/BBTHD16SP]
216
set_dont_use [get_lib_cells IO_CELLS_33/BBTHD1P]
217
set_dont_use [get_lib_cells IO_CELLS_33/BBTHD1SP]
218
set_dont_use [get_lib_cells IO_CELLS_33/BBTHD20P]
219
set_dont_use [get_lib_cells IO_CELLS_33/BBTHD20SMP]
220
set_dont_use [get_lib_cells IO_CELLS_33/BBTHD20SP]
221
set_dont_use [get_lib_cells IO_CELLS_33/BBTHD2P]
222
set_dont_use [get_lib_cells IO_CELLS_33/BBTHD2SP]
223
set_dont_use [get_lib_cells IO_CELLS_33/BBTHD4P]
224
set_dont_use [get_lib_cells IO_CELLS_33/BBTHD4SP]
225
set_dont_use [get_lib_cells IO_CELLS_33/BBTHD8P]
226
set_dont_use [get_lib_cells IO_CELLS_33/BBTHD8SMP]
227
set_dont_use [get_lib_cells IO_CELLS_33/BBTHD8SP]
228
set_dont_use [get_lib_cells IO_CELLS_33/BBTUD16P]
229
set_dont_use [get_lib_cells IO_CELLS_33/BBTUD16SMP]
230
set_dont_use [get_lib_cells IO_CELLS_33/BBTUD16SP]
231
set_dont_use [get_lib_cells IO_CELLS_33/BBTUD1P]
232
set_dont_use [get_lib_cells IO_CELLS_33/BBTUD1SP]
233
set_dont_use [get_lib_cells IO_CELLS_33/BBTUD20P]
234
set_dont_use [get_lib_cells IO_CELLS_33/BBTUD20SMP]
235
set_dont_use [get_lib_cells IO_CELLS_33/BBTUD20SP]
236
set_dont_use [get_lib_cells IO_CELLS_33/BBTUD2P]
237
set_dont_use [get_lib_cells IO_CELLS_33/BBTUD2SP]
238
set_dont_use [get_lib_cells IO_CELLS_33/BBTUD4P]
239
set_dont_use [get_lib_cells IO_CELLS_33/BBTUD4SP]
240
set_dont_use [get_lib_cells IO_CELLS_33/BBTUD8P]
241
set_dont_use [get_lib_cells IO_CELLS_33/BBTUD8SMP]
242
set_dont_use [get_lib_cells IO_CELLS_33/BBTUD8SP]
243
set_dont_use [get_lib_cells IO_CELLS_33/BT16P]
244
set_dont_use [get_lib_cells IO_CELLS_33/BT16SMP]
245
set_dont_use [get_lib_cells IO_CELLS_33/BT16SP]
246
set_dont_use [get_lib_cells IO_CELLS_33/BT1P]
247
set_dont_use [get_lib_cells IO_CELLS_33/BT1SP]
248
set_dont_use [get_lib_cells IO_CELLS_33/BT20P]
249
set_dont_use [get_lib_cells IO_CELLS_33/BT20SMP]
250
set_dont_use [get_lib_cells IO_CELLS_33/BT20SP]
251
set_dont_use [get_lib_cells IO_CELLS_33/BT2P]
252
set_dont_use [get_lib_cells IO_CELLS_33/BT2SP]
253
set_dont_use [get_lib_cells IO_CELLS_33/BT4P]
254
set_dont_use [get_lib_cells IO_CELLS_33/BT4SP]
255
set_dont_use [get_lib_cells IO_CELLS_33/BT8P]
256
set_dont_use [get_lib_cells IO_CELLS_33/BT8SMP]
257
set_dont_use [get_lib_cells IO_CELLS_33/BT8SP]
258
set_dont_use [get_lib_cells IO_CELLS_33/ICAP]
259
set_dont_use [get_lib_cells IO_CELLS_33/ICHDP]
260
set_dont_use [get_lib_cells IO_CELLS_33/ICP]
261
set_dont_use [get_lib_cells IO_CELLS_33/ICUDP]
262
set_dont_use [get_lib_cells IO_CELLS_33/ILHDP_3V]
263
set_dont_use [get_lib_cells IO_CELLS_33/ILP_3V]
264
set_dont_use [get_lib_cells IO_CELLS_33/ILUDP_3V]
265
set_dont_use [get_lib_cells IO_CELLS_33/ISHDP_3V]
266
set_dont_use [get_lib_cells IO_CELLS_33/ISP_3V]
267
set_dont_use [get_lib_cells IO_CELLS_33/ISUDP_3V]
268
set_dont_use [get_lib_cells IO_CELLS_33/ITHDP]
269
set_dont_use [get_lib_cells IO_CELLS_33/ITP]
270
set_dont_use [get_lib_cells IO_CELLS_33/ITUDP]
271
set_dont_use [get_lib_cells IO_CELLS_33/APR00P]
272
set_dont_use [get_lib_cells IO_CELLS_33/APR01P]
273
set_dont_use [get_lib_cells IO_CELLS_33/APR04P]
274
set_dont_use [get_lib_cells IO_CELLS_33/APR15P]
275
set_dont_use [get_lib_cells IO_CELLS_33/CLAMP]
276
set_dont_use [get_lib_cells IO_CELLS_33/CORNERCLMP]
277
set_dont_use [get_lib_cells IO_CELLS_33/CORNERP]
278
set_dont_use [get_lib_cells IO_CELLS_33/FILLCLMP_30]
279
set_dont_use [get_lib_cells IO_CELLS_33/FILLCLMP_40]
280
set_dont_use [get_lib_cells IO_CELLS_33/FILLERP_1]
281
set_dont_use [get_lib_cells IO_CELLS_33/FILLERP_2]
282
set_dont_use [get_lib_cells IO_CELLS_33/FILLERP_3]
283
set_dont_use [get_lib_cells IO_CELLS_33/FILLERP_4]
284
set_dont_use [get_lib_cells IO_CELLS_33/FILLERP_5]
285
set_dont_use [get_lib_cells IO_CELLS_33/FILLERP_10]
286
set_dont_use [get_lib_cells IO_CELLS_33/FILLERP_11]
287
set_dont_use [get_lib_cells IO_CELLS_33/FILLERP_20]
288
set_dont_use [get_lib_cells IO_CELLS_33/FILLERP_30]
289
set_dont_use [get_lib_cells IO_CELLS_33/FILLERP_40]
290
set_dont_use [get_lib_cells IO_CELLS_33/FILLERP_50]
291
set_dont_use [get_lib_cells IO_CELLS_33/FILLERP_110]
292
set_dont_use [get_lib_cells IO_CELLS_33/PWRC_ORP]
293
set_dont_use [get_lib_cells IO_CELLS_33/GND5ALLPADP]
294
set_dont_use [get_lib_cells IO_CELLS_33/GND5IPADP]
295
set_dont_use [get_lib_cells IO_CELLS_33/GND5OPADP]
296
set_dont_use [get_lib_cells IO_CELLS_33/GND5RPADP]
297
set_dont_use [get_lib_cells IO_CELLS_33/VDD5ALLPADP]
298
set_dont_use [get_lib_cells IO_CELLS_33/VDD5IPADP]
299
set_dont_use [get_lib_cells IO_CELLS_33/VDD5OPADP]
300
set_dont_use [get_lib_cells IO_CELLS_33/VDD5RPADP]
301
## List of unsupported SDC commands ##

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.