OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [beta_2.0/] [regressions/] [single_core/] [test1_IO.vp] - Blame information for rev 230

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 230 diegovalve
//////////////////////////////////////////////////
2
//This  tests does a number of TMREADS and the writes the results
3
//into OMEM
4
//////////////////////////////////////////////////
5
 
6
 
7
vector Address = (0, 1, 2);
8
vector Data;
9
 
10
while (Address.xxx < 10)
11
{
12
        Data = in [Address];
13
        out [Address] = Data;
14
        Address += 3;
15
}
16
 
17
 
18
exit ;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.