OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [beta_2.0/] [regressions/] [single_core/] [test_omem_1.vp] - Blame information for rev 230

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 218 diegovalve
 
2
 
3
 
4
vector v1,v2,v3;
5
 
6
 
7
v1 = (0xcafe,0xcafe,0xcafe);
8
v2 = (0x1E11,0x1E11,0x1E11);
9
v3 = 0xbabe;
10 230 diegovalve
out [0] = v1 - v2;              //0xaced
11
out [1] = v1;                   //0xcafe
12
out [2] = v3;                   //0xbabe
13 218 diegovalve
 
14
v3.x = 4;
15
v3.y = 5;
16
v3.z = 6;
17
v1.x = 0xbeef;
18
v1.y = 0xfeed;
19
v1.z = 0xfaaa;
20
 
21 230 diegovalve
out [ v3 ] = v1;
22 218 diegovalve
 
23
v2 = v1;
24
v2 = v1;
25
v2 = v1;
26
v2 = v1;
27
v2 = v1;
28 230 diegovalve
 
29
//Let's write more stuff into some random locations
30
v1.x = 10;
31
v1.y = 11;
32
v1.z = 12;
33
 
34
v2 = 0xaced;
35
while (v1.xxx < 30)
36
{
37
        out [v1] = v2;
38
        v1 += 3;
39
}
40
 
41
exit ;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.