OpenCores
URL https://opencores.org/ocsvn/threeaesc/threeaesc/trunk

Subversion Repositories threeaesc

[/] [threeaesc/] [trunk/] [aes_c_1/] [Makefile] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 entactogen
DEVICE=xc6slx75-3csg484
2
 
3
sim_aes: scripts/aes_enc.do
4
        vsim -do scripts/aes_enc.do
5
 
6
sim_aes_fsm: scripts/aes_fsm_enc.do
7
        vsim -do scripts/aes_fsm_enc.do
8
 
9
syn_aes:
10
          echo "run -ifn synthesis/aes_enc.prj -ifmt VHDL -ofn aes_enc -p \
11
                $(DEVICE) -opt_mode Speed -opt_level 1" | xst
12
syn_aes_fsm:
13
          echo "run -ifn synthesis/aes_fsm_enc.prj -ifmt VHDL -ofn aes_enc -p \
14
                $(DEVICE) -opt_mode Speed -opt_level 1" | xst
15
clean:
16
        rm -rf transcript work vsim.wlf *.rlf *.vstf *~ *.xrpt *.ngc _xmsgs  xst .lso
17
 
18
 
19
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.