OpenCores
URL https://opencores.org/ocsvn/threeaesc/threeaesc/trunk

Subversion Repositories threeaesc

[/] [threeaesc/] [trunk/] [aes_c_3/] [src/] [dual_mem.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 entactogen
-- Copyright (c) 2011 Antonio de la Piedra
2
 
3
-- This program is free software: you can redistribute it and/or modify
4
-- it under the terms of the GNU General Public License as published by
5
-- the Free Software Foundation, either version 3 of the License, or
6
-- (at your option) any later version.
7
 
8
-- This program is distributed in the hope that it will be useful,
9
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
10
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
11
-- GNU General Public License for more details.
12
 
13
-- You should have received a copy of the GNU General Public License
14
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
15
 
16
library ieee;
17
use ieee.std_logic_1164.all;
18
use ieee.std_logic_unsigned.all;
19
 
20
entity dual_mem is
21
  generic (ADDR_LENGTH : integer := 8;
22
           DATA_LENGTH : integer := 8;
23
           N_ADDR      : integer := 256);
24
  port (clk  : in std_logic;
25
        we   : in std_logic;
26
        a    : in std_logic_vector(ADDR_LENGTH - 1 downto 0);
27
        dpra : in std_logic_vector(ADDR_LENGTH - 1 downto 0);
28
        di   : in std_logic_vector(DATA_LENGTH - 1 downto 0);
29
        spo  : out std_logic_vector(DATA_LENGTH - 1 downto 0);
30
        dpo  : out std_logic_vector(DATA_LENGTH - 1 downto 0));
31
end dual_mem;
32
 
33
architecture rtl of dual_mem is
34
  type ram_type is array (N_ADDR - 1  downto 0)
35
        of std_logic_vector (DATA_LENGTH - 1 downto 0);
36
  signal RAM : ram_type;
37
  signal read_a : std_logic_vector(ADDR_LENGTH - 1 downto 0);
38
  signal read_dpra : std_logic_vector(ADDR_LENGTH - 1 downto 0);
39
 
40
  attribute ram_style: string;
41
  attribute ram_style of RAM: signal is "block";
42
 
43
begin
44
  process (clk)
45
  begin
46
    if rising_edge(clk) then
47
      if (we = '1') then
48
        RAM(conv_integer(a)) <= di;
49
      end if;
50
      read_a <= a;
51
      read_dpra <= dpra;
52
    end if;
53
  end process;
54
 
55
  spo <= RAM(conv_integer(read_a));
56
  dpo <= RAM(conv_integer(read_dpra));
57
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.