OpenCores
URL https://opencores.org/ocsvn/timestamp/timestamp/trunk

Subversion Repositories timestamp

[/] [timestamp/] [trunk/] [pcores/] [timestamp/] [data/] [timestamp.mpd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 robotron
###################################################################
2
## Name     : timestamp
3
## Desc     : Microprocessor Peripheral Description
4
##   Marek Peca  07/2008
5
###################################################################
6
 
7
BEGIN timestamp
8
 
9
## Peripheral Options
10
OPTION IPTYPE = PERIPHERAL
11
OPTION IMP_NETLIST = TRUE
12
OPTION HDL = VHDL
13
 
14
## Bus Interfaces
15
BUS_INTERFACE BUS = PORTB, BUS_STD = XIL_BRAM, BUS_TYPE = TARGET
16
 
17
## Generics for VHDL or Parameters for Verilog
18
 
19
## Ports
20
PORT reset = reset, DIR = I
21
 
22
PORT CPMFCMCLK = CPMFCMCLK, DIR = I
23
PORT APUFCMFLUSH = APUFCMFLUSH, DIR = I
24
PORT APUFCMDECODED = APUFCMDECODED, DIR = I
25
PORT APUFCMINSTRVALID = APUFCMINSTRVALID, DIR = I
26
PORT APUFCMDECUDIVALID = APUFCMDECUDIVALID, DIR = I
27
PORT APUFCMDECUDI = APUFCMDECUDI, DIR = I, VEC = [2:0]
28
PORT APUFCMWRITEBACKOK = APUFCMWRITEBACKOK, DIR = I
29
PORT APUFCMRADATA = APUFCMRADATA, DIR = I, VEC = [31:0]
30
PORT APUFCMRBDATA = APUFCMRBDATA, DIR = I, VEC = [31:0]
31
PORT FCMAPUDONE = FCMAPUDONE, DIR = O
32
PORT FCMAPUSLEEPNOTREADY = FCMAPUSLEEPNOTREADY, DIR = O
33
 
34
PORT BRAM_Rst_B = BRAM_Rst, DIR = I, BUS = PORTB
35
PORT BRAM_Clk_B = BRAM_Clk, DIR = I, BUS = PORTB, SIGIS = CLK
36
PORT BRAM_EN_B = BRAM_EN, DIR = I, BUS = PORTB
37
PORT BRAM_WEN_B = BRAM_WEN, DIR = I, VEC = [0:7], BUS = PORTB
38
PORT BRAM_Addr_B = BRAM_Addr, DIR = I, VEC = [0:31], BUS = PORTB
39
PORT BRAM_Din_B = BRAM_Din, DIR = O, VEC = [0:63], BUS = PORTB
40
PORT BRAM_Dout_B = BRAM_Dout, DIR = I, VEC = [0:63], BUS = PORTB
41
 
42
PORT debug = debug, DIR = O, VEC = [3:0]
43
 
44
END

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.