OpenCores
URL https://opencores.org/ocsvn/tiny_tate_bilinear_pairing/tiny_tate_bilinear_pairing/trunk

Subversion Repositories tiny_tate_bilinear_pairing

[/] [tiny_tate_bilinear_pairing/] [trunk/] [group_size_is_151_bits/] [rtl/] [rom.v] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 homer.hsin
/*
2 15 homer.hsin
 * Copyright 2012, Homer Hsing <homer.hsing@gmail.com>
3
 *
4
 * Licensed under the Apache License, Version 2.0 (the "License");
5
 * you may not use this file except in compliance with the License.
6
 * You may obtain a copy of the License at
7
 *
8
 * http://www.apache.org/licenses/LICENSE-2.0
9
 *
10
 * Unless required by applicable law or agreed to in writing, software
11
 * distributed under the License is distributed on an "AS IS" BASIS,
12
 * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
13
 * See the License for the specific language governing permissions and
14
 * limitations under the License.
15
 */
16 2 homer.hsin
 
17
module rom (clk, addr, out);
18
   input clk;
19 3 homer.hsin
   input [8:0] addr;
20
   output reg [25:0] out;
21 2 homer.hsin
 
22
   always @(posedge clk)
23 3 homer.hsin
      case (addr)
24
         0: out <= 26'h30c042;
25
         1: out <= 26'h514045;
26
         2: out <= 26'h61a041;
27
         3: out <= 26'h71e041;
28
         4: out <= 26'hc046;
29
         5: out <= 26'h1603840;
30
         6: out <= 26'h1702041;
31
         7: out <= 26'h1717857;
32
         8: out <= 26'h1817847;
33
         9: out <= 26'h1963847;
34
         10: out <= 26'hf5d059;
35
         11: out <= 26'hf3d045;
36
         12: out <= 26'h1763845;
37
         13: out <= 26'h185f840;
38
         14: out <= 26'h161f856;
39
         15: out <= 26'h1160056;
40
         16: out <= 26'h1144051;
41
         17: out <= 26'h1214045;
42
         18: out <= 26'h131f840;
43
         19: out <= 26'h135d053;
44
         20: out <= 26'h1404041;
45
         21: out <= 26'h151c047;
46
         22: out <= 26'h3e041;
47
         23: out <= 26'h1646041;
48
         24: out <= 26'h174a041;
49
         25: out <= 26'h184e041;
50
         26: out <= 26'h1952041;
51
         27: out <= 26'h1a56041;
52
         28: out <= 26'hf00057;
53
         29: out <= 26'hf3c059;
54
         30: out <= 26'h1158058;
55
         31: out <= 26'h114405a;
56
         32: out <= 26'h1144051;
57
         33: out <= 26'h125d059;
58
         34: out <= 26'h1369058;
59
         35: out <= 26'h1464041;
60
         36: out <= 26'h156805a;
61
         37: out <= 26'h61a081;
62
         38: out <= 26'h619042;
63
         39: out <= 26'h71e081;
64
         40: out <= 26'h71c047;
65
         41: out <= 26'hc046;
66
         42: out <= 26'h1600040;
67
         43: out <= 26'h1717847;
68
         44: out <= 26'h5b840;
69
         45: out <= 26'h1800056;
70
         46: out <= 26'h1901056;
71
         47: out <= 26'h1a3c054;
72
         48: out <= 26'h1b68052;
73
         49: out <= 26'h1c69052;
74
         50: out <= 26'h1d3d054;
75
         51: out <= 26'h1e75053;
76
         52: out <= 26'h1d74053;
77
         53: out <= 26'h1f44055;
78
         54: out <= 26'h207c053;
79
         55: out <= 26'h217d053;
80
         56: out <= 26'h225c056;
81
         57: out <= 26'h165d056;
82
         58: out <= 26'h2345055;
83
         59: out <= 26'h248c052;
84
         60: out <= 26'h238d052;
85
         61: out <= 26'h2560057;
86
         62: out <= 26'h266c060;
87
         63: out <= 26'h2700062;
88
         64: out <= 26'h2878064;
89
         65: out <= 26'h2964057;
90
         66: out <= 26'h2a70061;
91
         67: out <= 26'h2b00056;
92
         68: out <= 26'h2c74063;
93
         69: out <= 26'h186385b;
94
         70: out <= 26'h1b97866;
95
         71: out <= 26'h205f860;
96
         72: out <= 26'h1e0385e;
97
         73: out <= 26'h259f868;
98
         74: out <= 26'h228b864;
99
         75: out <= 26'h196785c;
100
         76: out <= 26'h1ca786a;
101
         77: out <= 26'h175f861;
102
         78: out <= 26'h385d;
103
         79: out <= 26'h1daf86c;
104
         80: out <= 26'h165b863;
105
         81: out <= 26'h2160065;
106
         82: out <= 26'h238005d;
107
         83: out <= 26'h2481057;
108
         84: out <= 26'h2665058;
109
         85: out <= 26'h175c060;
110
         86: out <= 26'h1789057;
111
         87: out <= 26'h175c056;
112
         88: out <= 26'h1864058;
113
         89: out <= 26'h186105e;
114
         90: out <= 26'h1861040;
115
         91: out <= 26'h198d061;
116
         92: out <= 26'h196505e;
117
         93: out <= 26'h1664056;
118
         94: out <= 26'h1984063;
119
         95: out <= 26'h196505b;
120
         96: out <= 26'h1964062;
121
         97: out <= 26'h64040;
122
         98: out <= 26'h1990066;
123
         99: out <= 26'h1e91066;
124
         100: out <= 26'h1e7805c;
125
         101: out <= 26'h1e7905b;
126
         102: out <= 26'h205c058;
127
         103: out <= 26'h175d058;
128
         104: out <= 26'h175c05c;
129
         105: out <= 26'h175c05b;
130
         106: out <= 26'h175d065;
131
         107: out <= 26'h175d05d;
132
         108: out <= 26'hf59052;
133
         109: out <= 26'h1101053;
134
         110: out <= 26'h65052;
135
         111: out <= 26'h1054;
136
         112: out <= 26'h1679053;
137
         113: out <= 26'h1659055;
138
         114: out <= 26'h148105a;
139
         115: out <= 26'h155d05f;
140
         116: out <= 26'h1201041;
141
         117: out <= 26'h1359041;
142
         118: out <= 26'h93e041;
143
         119: out <= 26'h3c052;
144
         120: out <= 26'h54;
145
         121: out <= 26'h163f84f;
146
         122: out <= 26'h173f852;
147
         123: out <= 26'h184b854;
148
         124: out <= 26'h1953854;
149
         125: out <= 26'h3840;
150
         126: out <= 26'h175c058;
151
         127: out <= 26'h1859058;
152
         128: out <= 26'h1965057;
153
         129: out <= 26'h57;
154
         130: out <= 26'h1056;
155
         131: out <= 26'h1644053;
156
         132: out <= 26'h1658055;
157
         133: out <= 26'h1747851;
158
         134: out <= 26'h1a47853;
159
         135: out <= 26'h1b4f855;
160
         136: out <= 26'h1c57855;
161
         137: out <= 26'h165b856;
162
         138: out <= 26'h1a6805b;
163
         139: out <= 26'h1b5d05b;
164
         140: out <= 26'h1c7105a;
165
         141: out <= 26'h165805a;
166
         142: out <= 26'h1659057;
167
         143: out <= 26'h173c052;
168
         144: out <= 26'h1a3c054;
169
         145: out <= 26'h1d48054;
170
         146: out <= 26'h1e44053;
171
         147: out <= 26'h1f44055;
172
         148: out <= 26'h204c055;
173
         149: out <= 26'h213f851;
174
         150: out <= 26'h224b853;
175
         151: out <= 26'h2353855;
176
         152: out <= 26'h175f85e;
177
         153: out <= 26'h1a6b85f;
178
         154: out <= 26'h1d77860;
179
         155: out <= 26'h1e85062;
180
         156: out <= 26'h1f79063;
181
         157: out <= 26'h1f7c05d;
182
         158: out <= 26'h175d05e;
183
         159: out <= 26'h175c05d;
184
         160: out <= 26'h1a6905e;
185
         161: out <= 26'h1d6105b;
186
         162: out <= 26'h1e6505c;
187
         163: out <= 26'h2001056;
188
         164: out <= 26'h186005b;
189
         165: out <= 26'h196405c;
190
         166: out <= 26'h56;
191
         167: out <= 26'h1660059;
192
         168: out <= 26'h1b61040;
193
         169: out <= 26'h1c65058;
194
         170: out <= 26'h210105c;
195
         171: out <= 26'h2263858;
196
         172: out <= 26'h2367859;
197
         173: out <= 26'h2403840;
198
         174: out <= 26'h1963859;
199
         175: out <= 26'h1863840;
200
         176: out <= 26'h3856;
201
         177: out <= 26'h168b85b;
202
         178: out <= 26'h1b8f85c;
203
         179: out <= 26'h1c93861;
204
         180: out <= 26'h165805b;
205
         181: out <= 26'h165805c;
206
         182: out <= 26'h1b58041;
207
         183: out <= 26'h1c6e041;
208
         184: out <= 26'h1b6f85c;
209
         185: out <= 26'h1c6e081;
210
         186: out <= 26'h1b6f85c;
211
         187: out <= 26'h1c6e101;
212
         188: out <= 26'h1b6f85c;
213
         189: out <= 26'h1c6e201;
214
         190: out <= 26'h1b6f85c;
215
         191: out <= 26'h1c6e401;
216
         192: out <= 26'h1b6f85c;
217
         193: out <= 26'h1c6e801;
218
         194: out <= 26'h1c6f85c;
219
         195: out <= 26'h1c72801;
220
         196: out <= 26'h1b6f85c;
221
         197: out <= 26'h1b6e041;
222
         198: out <= 26'h166f856;
223
         199: out <= 26'h166f856;
224
         200: out <= 26'h1b8d064;
225
         201: out <= 26'h1c8905b;
226
         202: out <= 26'h71040;
227
         203: out <= 26'h1991059;
228
         204: out <= 26'h186d058;
229
         205: out <= 26'h5b840;
230
         206: out <= 26'h195b859;
231
         207: out <= 26'h165b858;
232
         208: out <= 26'h187405e;
233
         209: out <= 26'h1b74060;
234
         210: out <= 26'h1c78060;
235
         211: out <= 26'h2100059;
236
         212: out <= 26'h2200056;
237
         213: out <= 26'h2364056;
238
         214: out <= 26'h1d77840;
239
         215: out <= 26'h1e7b859;
240
         216: out <= 26'h2083856;
241
         217: out <= 26'h1863861;
242
         218: out <= 26'h1b6f862;
243
         219: out <= 26'h1c73863;
244
         220: out <= 26'h1d7505e;
245
         221: out <= 26'h1e75060;
246
         222: out <= 26'h1e7805c;
247
         223: out <= 26'h186105d;
248
         224: out <= 26'h186005c;
249
         225: out <= 26'h1b6d05d;
250
         226: out <= 26'h1c7c057;
251
         227: out <= 26'h1d7c05a;
252
         228: out <= 26'h205c05a;
253
         229: out <= 26'h2100059;
254
         230: out <= 26'h2200056;
255
         231: out <= 26'h2364056;
256
         232: out <= 26'h7f840;
257
         233: out <= 26'h175f859;
258
         234: out <= 26'h166b856;
259
         235: out <= 26'h1973861;
260
         236: out <= 26'h1a77862;
261
         237: out <= 26'h1c83863;
262
         238: out <= 26'h1057;
263
         239: out <= 26'h1601056;
264
         240: out <= 26'h165805c;
265
         241: out <= 26'h1765040;
266
         242: out <= 26'h175c05c;
267
         243: out <= 26'h69040;
268
         244: out <= 26'h1978056;
269
         245: out <= 26'h1a60057;
270
         246: out <= 26'h1c6d040;
271
         247: out <= 26'h1d7b85b;
272
         248: out <= 26'h1f5b840;
273
         249: out <= 26'h1b6385b;
274
         250: out <= 26'h5f840;
275
         251: out <= 26'h206785c;
276
         252: out <= 26'h165b858;
277
         253: out <= 26'h177b857;
278
         254: out <= 26'h186785a;
279
         255: out <= 26'h196b85c;
280
         256: out <= 26'h1a58057;
281
         257: out <= 26'h1869058;
282
         258: out <= 26'h1a6c040;
283
         259: out <= 26'h1a6805a;
284
         260: out <= 26'h105b;
285
         261: out <= 26'h1b7d05d;
286
         262: out <= 26'h1b6c060;
287
         263: out <= 26'h1c0805d;
288
         264: out <= 26'h1c7005f;
289
         265: out <= 26'h1c7005a;
290
         266: out <= 26'h1659057;
291
         267: out <= 26'h165805b;
292
         268: out <= 26'h1769058;
293
         269: out <= 26'h1d64040;
294
         270: out <= 26'h1b7505b;
295
         271: out <= 26'h1860058;
296
         272: out <= 26'h186105a;
297
         273: out <= 26'h64040;
298
         274: out <= 26'h1972041;
299
         275: out <= 26'h1a5a041;
300
         276: out <= 26'h1d5e041;
301
         277: out <= 26'h1e6e041;
302
         278: out <= 26'h1f62041;
303
         279: out <= 26'h2002041;
304
         280: out <= 26'h196405d;
305
         281: out <= 26'h196405f;
306
         282: out <= 26'h1a6805a;
307
         283: out <= 26'h1a6905e;
308
         284: out <= 26'h1a69060;
309
         285: out <= 26'h1d7505f;
310
         286: out <= 26'h1e8105e;
311
         287: out <= 26'h2080060;
312
         288: out <= 26'h1966041;
313
         289: out <= 26'h1a6a041;
314
         290: out <= 26'h1d76041;
315
         291: out <= 26'h1e7a041;
316
         292: out <= 26'h1f7e041;
317
         293: out <= 26'h2082041;
318
         294: out <= 26'h196405d;
319
         295: out <= 26'h196405f;
320
         296: out <= 26'h1a6805a;
321
         297: out <= 26'h1a6905e;
322
         298: out <= 26'h1a69060;
323
         299: out <= 26'h1d7505f;
324
         300: out <= 26'h1e8105e;
325
         301: out <= 26'h2080060;
326
         302: out <= 26'h2170056;
327
         303: out <= 26'h225c05b;
328
         304: out <= 26'h2361040;
329
         305: out <= 26'h2473858;
330
         306: out <= 26'h255b840;
331
         307: out <= 26'h185f858;
332
         308: out <= 26'h6f840;
333
         309: out <= 26'h2687863;
334
         310: out <= 26'h165b857;
335
         311: out <= 26'h177385b;
336
         312: out <= 26'h1b87862;
337
         313: out <= 26'h1c8b863;
338
         314: out <= 26'h2158057;
339
         315: out <= 26'h1b8505b;
340
         316: out <= 26'h2160040;
341
         317: out <= 26'h2184061;
342
         318: out <= 26'h1058;
343
         319: out <= 26'h1895064;
344
         320: out <= 26'h1860066;
345
         321: out <= 26'h2208064;
346
         322: out <= 26'h2288065;
347
         323: out <= 26'h2288061;
348
         324: out <= 26'h1659057;
349
         325: out <= 26'h1658058;
350
         326: out <= 26'h178505b;
351
         327: out <= 26'h2370040;
352
         328: out <= 26'h188d058;
353
         329: out <= 26'h1b6c05b;
354
         330: out <= 26'h1b6d061;
355
         331: out <= 26'h70040;
356
         332: out <= 26'h1a6805a;
357
         333: out <= 26'h1c7805e;
358
         334: out <= 26'h1e80060;
359
         335: out <= 26'h206405f;
360
         336: out <= 26'h218005d;
361
         337: out <= 26'h208105d;
362
         338: out <= 26'h238805b;
363
         339: out <= 26'h248c057;
364
         340: out <= 26'h238d057;
365
         341: out <= 26'h196505f;
366
         342: out <= 26'h256505c;
367
         343: out <= 26'h196405c;
368
         344: out <= 26'h228905b;
369
         345: out <= 26'h2689058;
370
         346: out <= 26'h2288058;
371
         347: out <= 26'h276805e;
372
         348: out <= 26'h289c05c;
373
         349: out <= 26'h1c9d05c;
374
         350: out <= 26'h2758040;
375
         351: out <= 26'h299c058;
376
         352: out <= 26'h189d058;
377
         353: out <= 26'h1a6905e;
378
         354: out <= 26'h276805d;
379
         355: out <= 26'h1a6905d;
380
         356: out <= 26'h1659040;
381
         357: out <= 26'h1d58057;
382
         358: out <= 26'h1659057;
383
         359: out <= 26'h1784068;
384
         360: out <= 26'h2a90069;
385
         361: out <= 26'h2b94067;
386
         362: out <= 26'h2c9805d;
387
         363: out <= 26'h2d8005c;
388
         364: out <= 26'h2e8c058;
389
         365: out <= 26'h2f6405a;
390
         366: out <= 26'h3088056;
391
         367: out <= 26'h317c05e;
392
         368: out <= 26'h326c040;
393
         369: out <= 26'h2187864;
394
         370: out <= 26'h175f86a;
395
         371: out <= 26'h24a3869;
396
         372: out <= 26'h2597866;
397
         373: out <= 26'h26af86c;
398
         374: out <= 26'h1d9f85d;
399
         375: out <= 26'h2083863;
400
         376: out <= 26'h23b786e;
401
         377: out <= 26'h1873858;
402
         378: out <= 26'h1967862;
403
         379: out <= 26'h1cbf870;
404
         380: out <= 26'h166b856;
405
         381: out <= 26'h1a7f85b;
406
         382: out <= 26'h1bc7872;
407
         383: out <= 26'h7b840;
408
         384: out <= 26'h1e84066;
409
         385: out <= 26'h1e7805a;
410
         386: out <= 26'h1f9005c;
411
         387: out <= 26'h1f7c040;
412
         388: out <= 26'h1a8005a;
413
         389: out <= 26'h60040;
414
         390: out <= 26'h40;
415
         391: out <= 26'h188c05b;
416
         392: out <= 26'h2000064;
417
         393: out <= 26'h2269061;
418
         394: out <= 26'h1064;
419
         395: out <= 26'h5d;
420
         396: out <= 26'h56;
421
         397: out <= 26'h1a68061;
422
         398: out <= 26'h1a69065;
423
         399: out <= 26'h1a69059;
424
         400: out <= 26'h97d05e;
425
         401: out <= 26'h925065;
426
         402: out <= 26'h924056;
427
         403: out <= 26'ha7805f;
428
         404: out <= 26'ha29057;
429
         405: out <= 26'ha2805d;
430
         406: out <= 26'ha28059;
431
         407: out <= 26'ha2905b;
432
         408: out <= 26'hb80062;
433
         409: out <= 26'hc81062;
434
         410: out <= 26'hc30058;
435
         411: out <= 26'hc31057;
436
         412: out <= 26'hd0005a;
437
         413: out <= 26'he0105a;
438
         414: out <= 26'he38058;
439
         415: out <= 26'he38057;
440
         416: out <= 26'he39066;
441
         417: out <= 26'he3905c;
442
         default: out <= 0;
443
      endcase
444 2 homer.hsin
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.