OpenCores
URL https://opencores.org/ocsvn/tiny_tate_bilinear_pairing/tiny_tate_bilinear_pairing/trunk

Subversion Repositories tiny_tate_bilinear_pairing

[/] [tiny_tate_bilinear_pairing/] [trunk/] [group_size_is_911_bits/] [testbench/] [test_tiny.v] - Blame information for rev 11

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 homer.hsin
`timescale 1ns / 1ps
2
`define P 20 // clock period 
3
`define M     593         // M is the degree of the irreducible polynomial
4
`define WIDTH (2*`M-1)    // width for a GF(3^M) element
5
`define WIDTH_D0 1187
6
 
7
module test_tiny;
8
 
9
        // Inputs
10
        reg clk;
11
        reg reset;
12
        reg sel;
13
        reg [5:0] addr;
14
        reg w;
15
        reg [`WIDTH_D0:0] data;
16
 
17
        // Outputs
18
        wire [`WIDTH_D0:0] out;
19
        wire done;
20
 
21
        // Instantiate the Unit Under Test (UUT)
22
        tiny uut (
23
                .clk(clk),
24
                .reset(reset),
25
                .sel(sel),
26
                .addr(addr),
27
                .w(w),
28
                .data(data),
29
                .out(out),
30
                .done(done)
31
        );
32
 
33
        initial begin
34
                // Initialize Inputs
35
                clk = 0;
36
                reset = 0;
37
                sel = 0;
38
                addr = 0;
39
                w = 0;
40
                data = 0;
41
 
42
                // Wait 100 ns for global reset to finish
43
                #100;
44
 
45
                // Add stimulus here
46
        reset = 1; // keep FSM silent
47
            // init x, y
48
            write(3, 1186'h088a6aa4a8aa80a9aa922965a92a56510856606aa6400649a6004866466928a20090908210195560a8162a52442029a44a68004a8168496a0a8a8564962a0948118a5599a29450214995828245914a099051991602550105228289686988621a1a9126648644619a66111a026452641169158a4686884aa212199582406600921229a5948802528289a62454a2566a4122586a496);
49
            write(5, 1186'h05448582294062429a891a6509092496844141090214064988646241904502a0225046a54851a05454020044881088a2092411592909289861049124644a964a6188014aa25869a09890401a924048815a1008421459455411a4a65094410615a524458901026a9108a468650515a5aa50468005881a29055980995a145995146909841aa18890902264628884421894959956195);
50
            write(6, 1186'h088a6aa4a8aa80a9aa922965a92a56510856606aa6400649a6004866466928a20090908210195560a8162a52442029a44a68004a8168496a0a8a8564962a0948118a5599a29450214995828245914a099051991602550105228289686988621a1a9126648644619a66111a026452641169158a4686884aa212199582406600921229a5948802528289a62454a2566a4122586a496);
51
            write(7, 1186'h05448582294062429a891a6509092496844141090214064988646241904502a0225046a54851a05454020044881088a2092411592909289861049124644a964a6188014aa25869a09890401a924048815a1008421459455411a4a65094410615a524458901026a9108a468650515a5aa50468005881a29055980995a145995146909841aa18890902264628884421894959956195);
52
            /* read back. uncomment me if error happens */
53
            /* read(3);
54
            $display("xp = %h", out);
55
            read(5);
56
            $display("yp = %h", out);
57
            read(6);
58
            $display("xq = %h", out);
59
            read(7);
60
            $display("yq = %h", out);*/
61
        reset = 0;
62
        sel = 0; w = 0;
63
        @(posedge done);
64
        @(negedge clk);
65
            read(3);
66
            check(1186'h088a6aa4a8aa80a9aa922965a92a56510856606aa6400649a6004866466928a20090908210195560a8162a52442029a44a68004a8168496a0a8a8564962a0948118a5599a29450214995828245914a099051991602550105228289686988621a1a9126648644619a66111a026452641169158a4686884aa212199582406600921229a5948802528289a62454a2566a4122586a494);
67
            read(5);
68
            check(1186'h05448582294062429a891a6509092496844141090214064988646241904502a0225046a54851a05454020044881088a2092411592909289861049124644a964a6188014aa25869a09890401a924048815a1008421459455411a4a65094410615a524458901026a9108a468650515a5aa50468005881a29055980995a145995146909841aa18890902264628884421894959956195);
69
            read(6);
70
            check(1186'h088a6aa4a8aa80a9aa922965a92a56510856606aa6400649a6004866466928a20090908210195560a8162a52442029a44a68004a8168496a0a8a8564962a0948118a5599a29450214995828245914a099051991602550105228289686988621a1a9126648644619a66111a026452641169158a4686884aa212199582406600921229a5948802528289a62454a2566a4122586a494);
71
            read(7);
72
            check(1186'h05448582294062429a891a6509092496844141090214064988646241904502a0225046a54851a05454020044881088a2092411592909289861049124644a964a6188014aa25869a09890401a924048815a1008421459455411a4a65094410615a524458901026a9108a468650515a5aa50468005881a29055980995a145995146909841aa18890902264628884421894959956195);
73
            read(9);
74
            check(1186'h20115a6958895a08585a412698a58250900a651a859448a4848125164545598a426119a09885802424154a08855a0042a168516099228606222540582026aa0a6029a88805a1888628856a2a64504120aa290491925284508921140a24a0a8641548a521512698985a610861a401208644612a4a52625119000006004518844899810191a056aaa680889958996508954685a0920);
75
            read(10);
76
            check(1186'h228a9556506501a0258028a8856851a5466a205a2544849a12a10a018a40aaa461959859a4408245094969a44565a160a98229805169491120568121008a04918050a9022854868440662591221116889a9668a82aa84182a59025424469164015a56698a95989555601618402286696055608a82508125aaa5882000aaa96114998660a684582889a5a5190058a0411426145250);
77
            read(11);
78
            check(1186'h001224a468a9154205488585aaa9a0a9882056194952001a88424522191052a96a21102915181a845a5509844985196696160900a0515956a2a10a100a12566408a14450049a586951896442400a8620148582958a8a51869990a161412406860012a61a66214a4461a86895640a48284528201852615921952aaaaa40802586168a929582128a985929990826a9110186891489a);
79
            read(12);
80
            check(1186'h019618a9624a522a280a06a0654418906998059625a892054996a0560a941a842589189984190884426125114000aa60a0a568285221026662226a626a8600605095054405486561a95059449282969a5a10819101a620902609052a1294182962a020512196945a2aa42598a41842096596551544969262a12a86685214a952494a956166a199682a649249a990088296422051a);
81
            read(13);
82
            check(1186'h1a6999a0105054aaa2145298116480601695482119a0619155a4414a8a82840918a512a5680a8000889a4905016868480211289860a8a5699a250245161a042846096a9866025094a189860a9829465281646040866a26959a61a18621848689101a9a95685016a9581224968461a0a108958a91205a0220a18865105928298299a642a906900289a95095845649aa41591069866);
83
            read(14);
84
            check(1186'h15a4208a19a0405005900212505098a881a49445242619a12a12491844110169529a422046a684668819599891a411954196961160591865590a699a04908a6196928965a1686a664210420908115a5816919169662656a855099464680902514586265602510840a566a94a506961a615420a908aa91959610a1a0899589600902a10962460a664104126056a82551462459169a);
85
            $display("Good");
86
        $finish;
87
        end
88
 
89
    initial #100 forever #(`P/2) clk = ~clk;
90
 
91
    task write;
92
        input [6:0] adr;
93
        input [`WIDTH_D0:0] dat;
94
        begin
95
            sel = 1;
96
            w = 1;
97
            addr = adr;
98
            data = dat;
99
            #(`P);
100
        end
101
    endtask
102
 
103
    task read;
104
        input [6:0] adr;
105
        begin
106
            sel = 1;
107
            w = 0;
108
            addr = adr;
109
            #(`P);
110
        end
111
    endtask;
112
 
113
    task check;
114
        input [`WIDTH_D0:0] wish;
115
        begin
116
            if (out !== wish)
117
                begin $display("Error! %h %h", out, wish); end
118
        end
119
    endtask
120
endmodule
121
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.