OpenCores
URL https://opencores.org/ocsvn/tosnet/tosnet/trunk

Subversion Repositories tosnet

[/] [tosnet/] [trunk/] [gateware/] [MicroBlaze_Peripheral_rev3_2/] [pcores/] [tosnet_v3_20_a/] [hdl/] [vhdl/] [crcpack.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sonicwave
----------------------------------------------------------------------------------
2
-- Company: SDU, Robolab, Denmark
3
-- Engineer: Simon Falsig
4
-- 
5
-- Create Date:    15:49:43 04/01/2008 
6
-- Design Name: 
7
-- Module Name:    crcpack - Behavioral 
8
-- Project Name:         TosNet Datalink Layer
9
-- Target Devices: Xilinx Spartan3
10
-- Tool versions:  ISE 9.2.04i
11
-- Description: 
12
--              Adapted from "Parallel CRC Realization", by Guiseppe Campobello, Guiseppe 
13
--              Patanč and Marco Russo, IEEE Transactions on Computers, Vol.52, No.10, 
14
--              October 2003.
15
--              Adjustments have been made to the layout, and the reset has been converted
16
--              to a synchronous reset instead of the asynchronous reset from the original
17
--              paper.
18
--
19
-- Dependencies:
20
--              crcgen.vhd                      (Contains implementation)
21
--
22
-- Revision: 
23
-- Revision 1.00 - Working!
24
-- Additional Comments: 
25
--
26
----------------------------------------------------------------------------------
27
library ieee;
28
use ieee.std_logic_1164.all;
29
 
30
package crcpack is
31
        constant CRC16          : std_logic_vector(16 downto 0) := "11000000000000101";
32
        constant CRCXA6         : std_logic_vector(8 downto 0) := "101100101";
33
        constant CRCDIM         : integer := 8;
34
        constant CRC                    : std_logic_vector(CRCDIM downto 0) := CRCXA6;
35
        constant DATA_WIDTH     : integer range 1 to CRCDIM := 8;
36
        type matrix is array (CRCDIM - 1 downto 0) of std_logic_vector (CRCDIM - 1 downto 0);
37
end crcpack ;
38
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.