OpenCores
URL https://opencores.org/ocsvn/tosnet/tosnet/trunk

Subversion Repositories tosnet

[/] [tosnet/] [trunk/] [gateware/] [TosNet_rev3_2/] [commandpack.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sonicwave
----------------------------------------------------------------------------------
2
-- Company: SDU, Robolab, Denmark
3
-- Engineer: Simon Falsig
4
-- 
5
-- Create Date:    15:49:43 04/01/2008 
6
-- Design Name: 
7
-- Module Name:    commandpack - Behavioral 
8
-- Project Name:         TosNet Datalink Layer
9
-- Target Devices: Xilinx Spartan3
10
-- Tool versions:  ISE 9.2.04i
11
-- Description: 
12
--              Contains the commands used for the network.
13
--
14
-- Dependencies:
15
--
16
-- Revision: 
17
-- Revision 1.00 - Working!
18
-- Additional Comments: 
19
--
20
----------------------------------------------------------------------------------
21
library IEEE;
22
use IEEE.STD_LOGIC_1164.all;
23
 
24
package commandpack is
25
        constant CMD_IDLE                       : STD_LOGIC_VECTOR(3 downto 0) := "0000";
26
        constant CMD_MASTER_DSC : STD_LOGIC_VECTOR(3 downto 0) := "0010";
27
        constant CMD_MASTER_SET : STD_LOGIC_VECTOR(3 downto 0) := "0011";
28
        constant CMD_NET_DSC            : STD_LOGIC_VECTOR(3 downto 0) := "0100";
29
        constant CMD_NET_SET            : STD_LOGIC_VECTOR(3 downto 0) := "0101";
30
        constant CMD_REG_DSC            : STD_LOGIC_VECTOR(3 downto 0) := "0110";
31
        constant CMD_REG_SET            : STD_LOGIC_VECTOR(3 downto 0) := "0111";
32
        constant        CMD_SYNC_DSC    : STD_LOGIC_VECTOR(3 downto 0) := "1000";
33
        constant        CMD_SYNC_SET    : STD_LOGIC_VECTOR(3 downto 0) := "1001";
34
        constant        CMD_DATA                        : STD_LOGIC_VECTOR(3 downto 0) := "1010";
35
        constant CMD_HALT                       : STD_LOGIC_VECTOR(3 downto 0) := "1111";
36
end commandpack;
37
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.