OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] [trunk/] [tb/] [uvm_src/] [dpi/] [uvm_svcmd_dpi.svh] - Blame information for rev 16

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 HanySalah
//
2
//------------------------------------------------------------------------------
3
//   Copyright 2011 Mentor Graphics Corporation
4
//   Copyright 2011 Cadence Design Systems, Inc.
5
//   Copyright 2011 Synopsys, Inc.
6
//   All Rights Reserved Worldwide
7
//
8
//   Licensed under the Apache License, Version 2.0 (the
9
//   "License"); you may not use this file except in
10
//   compliance with the License.  You may obtain a copy of
11
//   the License at
12
//
13
//       http://www.apache.org/licenses/LICENSE-2.0
14
//
15
//   Unless required by applicable law or agreed to in
16
//   writing, software distributed under the License is
17
//   distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
18
//   CONDITIONS OF ANY KIND, either express or implied.  See
19
//   the License for the specific language governing
20
//   permissions and limitations under the License.
21
//------------------------------------------------------------------------------
22
 
23
// Import DPI functions used by the interface to generate the
24
// lists.
25
 
26
`ifndef UVM_CMDLINE_NO_DPI
27
import "DPI-C" function string uvm_dpi_get_next_arg_c (int init);
28
import "DPI-C" function string uvm_dpi_get_tool_name_c ();
29
import "DPI-C" function string uvm_dpi_get_tool_version_c ();
30
 
31
function string uvm_dpi_get_next_arg(int init=0);
32
  return uvm_dpi_get_next_arg_c(init);
33
endfunction
34
 
35
function string uvm_dpi_get_tool_name();
36
  return uvm_dpi_get_tool_name_c();
37
endfunction
38
 
39
function string uvm_dpi_get_tool_version();
40
  return uvm_dpi_get_tool_version_c();
41
endfunction
42
 
43
import "DPI-C" function chandle uvm_dpi_regcomp(string regex);
44
import "DPI-C" function int uvm_dpi_regexec(chandle preg, string str);
45
import "DPI-C" function void uvm_dpi_regfree(chandle preg);
46
 
47
`else
48
function string uvm_dpi_get_next_arg(int init=0);
49
  return "";
50
endfunction
51
 
52
function string uvm_dpi_get_tool_name();
53
  return "?";
54
endfunction
55
 
56
function string uvm_dpi_get_tool_version();
57
  return "?";
58
endfunction
59
 
60
 
61
function chandle uvm_dpi_regcomp(string regex); return null; endfunction
62
function int uvm_dpi_regexec(chandle preg, string str); return 0; endfunction
63
function void uvm_dpi_regfree(chandle preg); endfunction
64
 
65
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.