OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [docs/] [doxygenDocs/] [html/] [_s_e_r_i_a_l_m_a_s_t_e_r_8vhd_source.html] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 leonardoar
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
2
<html xmlns="http://www.w3.org/1999/xhtml">
3
<head>
4
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
5
<meta http-equiv="X-UA-Compatible" content="IE=9"/>
6
<title>Uart wishbone slave Documentation: E:/uart_block/hdl/iseProject/SERIALMASTER.vhd Source File</title>
7
 
8
<link href="tabs.css" rel="stylesheet" type="text/css"/>
9
<link href="doxygen.css" rel="stylesheet" type="text/css" />
10
<link href="navtree.css" rel="stylesheet" type="text/css"/>
11
<script type="text/javascript" src="jquery.js"></script>
12
<script type="text/javascript" src="resize.js"></script>
13
<script type="text/javascript" src="navtree.js"></script>
14
<script type="text/javascript">
15
  $(document).ready(initResizable);
16
</script>
17
<link href="search/search.css" rel="stylesheet" type="text/css"/>
18
<script type="text/javascript" src="search/search.js"></script>
19
<script type="text/javascript">
20
  $(document).ready(function() { searchBox.OnSelectItem(0); });
21
</script>
22
 
23
</head>
24
<body>
25
<div id="top"><!-- do not remove this div! -->
26
 
27
 
28
<div id="titlearea">
29
<table cellspacing="0" cellpadding="0">
30
 <tbody>
31
 <tr style="height: 56px;">
32
 
33
 
34
  <td style="padding-left: 0.5em;">
35
   <div id="projectname">Uart wishbone slave Documentation
36
 
37
   </div>
38
 
39
  </td>
40
 
41
 
42
 
43
 </tr>
44
 </tbody>
45
</table>
46
</div>
47
 
48
<!-- Generated by Doxygen 1.8.0 -->
49
<script type="text/javascript">
50
var searchBox = new SearchBox("searchBox", "search",false,'Search');
51
</script>
52
  <div id="navrow1" class="tabs">
53
    <ul class="tablist">
54
      <li><a href="index.html"><span>Main&#160;Page</span></a></li>
55
      <li><a href="namespaces.html"><span>Packages</span></a></li>
56
      <li><a href="annotated.html"><span>Design&#160;Unit&#160;List</span></a></li>
57
      <li class="current"><a href="files.html"><span>Files</span></a></li>
58
      <li>
59
        <div id="MSearchBox" class="MSearchBoxInactive">
60
        <span class="left">
61
          <img id="MSearchSelect" src="search/mag_sel.png"
62
               onmouseover="return searchBox.OnSearchSelectShow()"
63
               onmouseout="return searchBox.OnSearchSelectHide()"
64
               alt=""/>
65
          <input type="text" id="MSearchField" value="Search" accesskey="S"
66
               onfocus="searchBox.OnSearchFieldFocus(true)"
67
               onblur="searchBox.OnSearchFieldFocus(false)"
68
               onkeyup="searchBox.OnSearchFieldChange(event)"/>
69
          </span><span class="right">
70
            <a id="MSearchClose" href="javascript:searchBox.CloseResultsWindow()"><img id="MSearchCloseImg" border="0" src="search/close.png" alt=""/></a>
71
          </span>
72
        </div>
73
      </li>
74
    </ul>
75
  </div>
76
  <div id="navrow2" class="tabs2">
77
    <ul class="tablist">
78
      <li><a href="files.html"><span>File&#160;List</span></a></li>
79
    </ul>
80
  </div>
81
</div>
82
<div id="side-nav" class="ui-resizable side-nav-resizable">
83
  <div id="nav-tree">
84
    <div id="nav-tree-contents">
85
    </div>
86
  </div>
87
  <div id="splitbar" style="-moz-user-select:none;"
88
       class="ui-resizable-handle">
89
  </div>
90
</div>
91
<script type="text/javascript">
92
  initNavTree('_s_e_r_i_a_l_m_a_s_t_e_r_8vhd.html','');
93
</script>
94
<div id="doc-content">
95
<!-- window showing the filter options -->
96
<div id="MSearchSelectWindow"
97
     onmouseover="return searchBox.OnSearchSelectShow()"
98
     onmouseout="return searchBox.OnSearchSelectHide()"
99
     onkeydown="return searchBox.OnSearchSelectKey(event)">
100
<a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(0)"><span class="SelectionMark">&#160;</span>All</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(1)"><span class="SelectionMark">&#160;</span>Classes</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(2)"><span class="SelectionMark">&#160;</span>Namespaces</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(3)"><span class="SelectionMark">&#160;</span>Files</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(4)"><span class="SelectionMark">&#160;</span>Variables</a></div>
101
 
102
<!-- iframe showing the search results (closed by default) -->
103
<div id="MSearchResultsWindow">
104
<iframe src="javascript:void(0)" frameborder="0"
105
        name="MSearchResults" id="MSearchResults">
106
</iframe>
107
</div>
108
 
109
<div class="header">
110
  <div class="headertitle">
111
<div class="title">E:/uart_block/hdl/iseProject/SERIALMASTER.vhd</div>  </div>
112
</div><!--header-->
113
<div class="contents">
114
<a href="_s_e_r_i_a_l_m_a_s_t_e_r_8vhd.html">Go to the documentation of this file.</a><div class="fragment"><pre class="fragment"><a name="l00001"></a>00001
115
<a name="l00003"></a>00003 <span class="vhdlkeyword">library </span><span class="keywordflow">ieee</span>;
116
<a name="l00004"></a>00004 <span class="vhdlkeyword">USE </span>ieee.std_logic_1164.<span class="vhdlkeyword">ALL</span>;
117
<a name="l00005"></a>00005 <span class="vhdlkeyword">use </span>ieee.std_logic_unsigned.<span class="vhdlkeyword">all</span>;
118
<a name="l00006"></a>00006 <span class="vhdlkeyword">use </span>ieee.std_logic_arith.<span class="vhdlkeyword">all</span>;
119
<a name="l00007"></a>00007
120
<a name="l00009"></a><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#ac442dca664056131bdaf5c92e4351e01">00009</a> <span class="vhdlkeyword">use </span>work.pkgDefinitions.<span class="vhdlkeyword">all</span>;
121
<a name="l00010"></a>00010
122
<a name="l00011"></a><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html">00011</a> <span class="keywordflow">entity </span><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html">SERIALMASTER</a> <span class="vhdlkeyword">is</span>
123
<a name="l00012"></a>00012         <span class="vhdlkeyword">port</span><span class="vhdlchar">(</span><span class="keyword"></span>
124
<a name="l00013"></a>00013 <span class="keyword">            -- WISHBONE Signals</span>
125
<a name="l00014"></a><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a69bf28b7e6429b3f3e35bee455901578">00014</a>             <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a69bf28b7e6429b3f3e35bee455901578" title="Ack input.">ACK_I</a></span><span class="vhdlchar">:</span>  <span class="vhdlkeyword">in</span>  <span class="comment">std_logic</span>;
126
<a name="l00015"></a><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a2331d71c69b0b20c1901627667a01471">00015</a>             <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a2331d71c69b0b20c1901627667a01471" title="Address output.">ADR_O</a></span><span class="vhdlchar">:</span>  <span class="vhdlkeyword">out</span> <span class="comment">std_logic_vector</span><span class="vhdlchar">(</span> <span class="vhdllogic"></span><span class="vhdllogic">1</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span> <span class="vhdlchar">)</span>;
127
<a name="l00016"></a><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a3582288d52a135a76a7de24d94b4dc68">00016</a>             <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a3582288d52a135a76a7de24d94b4dc68" title="Clock input.">CLK_I</a></span><span class="vhdlchar">:</span>  <span class="vhdlkeyword">in</span>  <span class="comment">std_logic</span>;
128
<a name="l00017"></a><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#af4b285f68ab4fa480bd6095c34ff5135">00017</a>             <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#af4b285f68ab4fa480bd6095c34ff5135" title="Cycle output.">CYC_O</a></span><span class="vhdlchar">:</span>  <span class="vhdlkeyword">out</span> <span class="comment">std_logic</span>;
129
<a name="l00018"></a><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#ab94f6b71e9a7ec24dab537723d8345d2">00018</a>             <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#ab94f6b71e9a7ec24dab537723d8345d2" title="Data input.">DAT_I</a></span><span class="vhdlchar">:</span>  <span class="vhdlkeyword">in</span>  <span class="comment">std_logic_vector</span><span class="vhdlchar">(</span> <span class="vhdllogic"></span><span class="vhdllogic">31</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span> <span class="vhdlchar">)</span>;
130
<a name="l00019"></a><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a6b78f3634fd733feea1e7504e6a4ddc4">00019</a>             <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a6b78f3634fd733feea1e7504e6a4ddc4" title="Data output.">DAT_O</a></span><span class="vhdlchar">:</span>  <span class="vhdlkeyword">out</span> <span class="comment">std_logic_vector</span><span class="vhdlchar">(</span> <span class="vhdllogic"></span><span class="vhdllogic">31</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span> <span class="vhdlchar">)</span>;
131
<a name="l00020"></a><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#ae9849e01c32648d8e13000bd5fb9760f">00020</a>             <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#ae9849e01c32648d8e13000bd5fb9760f" title="Reset input.">RST_I</a></span><span class="vhdlchar">:</span>  <span class="vhdlkeyword">in</span>  <span class="comment">std_logic</span>;
132
<a name="l00021"></a><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#abd694a1729387db79033dcfd6bf320bc">00021</a>             <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#abd694a1729387db79033dcfd6bf320bc" title="Select output.">SEL_O</a></span><span class="vhdlchar">:</span>  <span class="vhdlkeyword">out</span> <span class="comment">std_logic</span>;
133
<a name="l00022"></a><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#ac7ffa7be9c863895b0f1d1ec6e101169">00022</a>             <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#ac7ffa7be9c863895b0f1d1ec6e101169" title="Strobe output (Works like a chip select)">STB_O</a></span><span class="vhdlchar">:</span>  <span class="vhdlkeyword">out</span> <span class="comment">std_logic</span>;
134
<a name="l00023"></a><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a41e7e86f235d5f673607008142e1ecad">00023</a>             <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a41e7e86f235d5f673607008142e1ecad" title="Write enable.">WE_O</a></span><span class="vhdlchar">:</span>   <span class="vhdlkeyword">out</span> <span class="comment">std_logic</span>;
135
<a name="l00024"></a>00024                                 <span class="keyword"></span>
136
<a name="l00025"></a>00025 <span class="keyword">                                -- NON-WISHBONE Signals</span>
137
<a name="l00026"></a><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#af163828b322f105b0c03724feea898ce">00026</a>                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#af163828b322f105b0c03724feea898ce" title="Signal byte received (Used to debug on the out leds)">byte_rec</a></span> <span class="vhdlchar">:</span> <span class="vhdlkeyword">out</span> <span class="comment">std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdllogic"></span><span class="vhdllogic">7</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>
138
<a name="l00027"></a>00027          <span class="vhdlchar">)</span>;
139
<a name="l00028"></a>00028
140
<a name="l00029"></a>00029 <span class="vhdlkeyword">end</span> <span class="vhdlchar">SERIALMASTER</span>;
141
<a name="l00030"></a>00030
142
<a name="l00033"></a><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r_1_1_behavioral.html">00033</a> <span class="vhdlkeyword">architecture</span> Behavioral <span class="vhdlkeyword">of</span> <a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html">SERIALMASTER</a> is
143
<a name="l00034"></a>00034 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">masterSerialStates</span> <span class="vhdlchar">:</span> <span class="vhdlchar">testMaster</span>;
144
<a name="l00035"></a>00035 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">byteIncome</span> <span class="vhdlchar">:</span> <span class="comment">std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdllogic"></span><span class="vhdllogic">7</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
145
<a name="l00036"></a>00036 <span class="vhdlkeyword">begin</span>
146
<a name="l00037"></a>00037
147
<a name="l00038"></a>00038         <span class="vhdlkeyword">process</span> (<a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a3582288d52a135a76a7de24d94b4dc68" title="Clock input.">CLK_I</a>)
148
<a name="l00039"></a>00039         <span class="vhdlkeyword">variable</span> <span class="vhdlchar">contWait</span> <span class="vhdlchar">:</span> <span class="comment">integer</span> <span class="vhdlkeyword">range</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span> <span class="vhdlkeyword">to</span> <span class="vhdllogic"></span><span class="vhdllogic">50000000</span>;
149
<a name="l00040"></a>00040         <span class="vhdlkeyword">variable</span> <span class="vhdlchar">cycles2Wait</span> <span class="vhdlchar">:</span> <span class="comment">integer</span> <span class="vhdlkeyword">range</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span> <span class="vhdlkeyword">to</span> <span class="vhdllogic"></span><span class="vhdllogic">50000000</span>;
150
<a name="l00041"></a>00041         <span class="vhdlkeyword">variable</span> <span class="vhdlchar">nextState</span><span class="vhdlchar">:</span> <span class="vhdlchar">testMaster</span>;
151
<a name="l00042"></a>00042 <span class="vhdlkeyword">        begin</span>
152
<a name="l00043"></a>00043                 <span class="vhdlkeyword">if</span> <span class="vhdlchar">rising_edge</span><span class="vhdlchar">(</span><span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a3582288d52a135a76a7de24d94b4dc68" title="Clock input.">CLK_I</a></span><span class="vhdlchar">)</span> <span class="vhdlkeyword">then</span>
153
<a name="l00044"></a>00044                         <span class="vhdlkeyword">if</span> <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#ae9849e01c32648d8e13000bd5fb9760f" title="Reset input.">RST_I</a></span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span> <span class="vhdlkeyword">then</span>
154
<a name="l00045"></a>00045                                 <span class="vhdlchar">masterSerialStates</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">idle</span>;
155
<a name="l00046"></a>00046                                 <span class="vhdlchar">nextState</span> <span class="vhdlchar">:=</span> <span class="vhdlchar">idle</span>;
156
<a name="l00047"></a>00047                                 <span class="vhdlchar">contWait</span> <span class="vhdlchar">:=</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span>;
157
<a name="l00048"></a>00048                                 <span class="vhdlchar">cycles2Wait</span> <span class="vhdlchar">:=</span> <span class="vhdllogic"></span><span class="vhdllogic">25000000</span>;
158
<a name="l00049"></a>00049                                 <span class="vhdlchar">byteIncome</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">conv_std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdllogic"></span><span class="vhdllogic">64</span><span class="vhdlchar">,</span> <span class="vhdlchar">(</span><span class="vhdlchar">nBitsLarge</span><span class="vhdlchar">)</span><span class="vhdlchar">)</span>;<span class="keyword">  --Send the &#39;@&#39;;</span>
159
<a name="l00050"></a>00050                         <span class="vhdlkeyword">else</span>
160
<a name="l00051"></a>00051                                 <span class="vhdlkeyword">case</span> <span class="vhdlchar">masterSerialStates</span> <span class="vhdlkeyword">is</span>
161
<a name="l00052"></a>00052                                         <span class="vhdlkeyword">when</span> <span class="vhdlchar">idle</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
162
<a name="l00053"></a>00053                                                 <span class="vhdlchar">masterSerialStates</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">config_clock</span>;
163
<a name="l00054"></a>00054                                                 <span class="vhdlchar">nextState</span> <span class="vhdlchar">:=</span> <span class="vhdlchar">idle</span>;
164
<a name="l00055"></a>00055
165
<a name="l00056"></a>00056                                         <span class="vhdlkeyword">when</span> <span class="vhdlchar">config_clock</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
166
<a name="l00057"></a>00057                                                 <span class="vhdlchar">nextState</span> <span class="vhdlchar">:=</span> <span class="vhdlchar">config_baud</span>;
167
<a name="l00058"></a>00058                                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a2331d71c69b0b20c1901627667a01471" title="Address output.">ADR_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdllogic">&quot;00&quot;</span>;
168
<a name="l00059"></a>00059                                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a41e7e86f235d5f673607008142e1ecad" title="Write enable.">WE_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
169
<a name="l00060"></a>00060                                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#ac7ffa7be9c863895b0f1d1ec6e101169" title="Strobe output (Works like a chip select)">STB_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
170
<a name="l00061"></a>00061                                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a6b78f3634fd733feea1e7504e6a4ddc4" title="Data output.">DAT_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">conv_std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdllogic"></span><span class="vhdllogic">50000000</span><span class="vhdlchar">,</span> <span class="vhdlchar">(</span><span class="vhdlchar">nBitsLarge</span><span class="vhdlchar">)</span><span class="vhdlchar">)</span>;<span class="keyword">         -- 50Mhz</span>
171
<a name="l00062"></a>00062                                                 <span class="vhdlkeyword">if</span> <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a69bf28b7e6429b3f3e35bee455901578" title="Ack input.">ACK_I</a></span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span> <span class="vhdlkeyword">then</span><span class="keyword"></span>
172
<a name="l00063"></a>00063 <span class="keyword">                                                        -- Byte received <span class="vhdlkeyword">wait</span> some cycles <span class="vhdlkeyword">to</span> continue                                           </span>
173
<a name="l00064"></a>00064                                                         <span class="vhdlchar">masterSerialStates</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">wait_cycles</span>;
174
<a name="l00065"></a>00065                                                         <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#af163828b322f105b0c03724feea898ce" title="Signal byte received (Used to debug on the out leds)">byte_rec</a></span>        <span class="vhdlchar">&lt;=</span> <span class="vhdllogic">&quot;00000001&quot;</span>;
175
<a name="l00066"></a>00066                                                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
176
<a name="l00067"></a>00067
177
<a name="l00068"></a>00068                                         <span class="vhdlkeyword">when</span> <span class="vhdlchar">config_baud</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
178
<a name="l00069"></a>00069                                                 <span class="vhdlchar">nextState</span> <span class="vhdlchar">:=</span> <span class="vhdlchar">send_byte</span>;
179
<a name="l00070"></a>00070                                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a2331d71c69b0b20c1901627667a01471" title="Address output.">ADR_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdllogic">&quot;01&quot;</span>;
180
<a name="l00071"></a>00071                                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a41e7e86f235d5f673607008142e1ecad" title="Write enable.">WE_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
181
<a name="l00072"></a>00072                                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#ac7ffa7be9c863895b0f1d1ec6e101169" title="Strobe output (Works like a chip select)">STB_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
182
<a name="l00073"></a>00073                                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a6b78f3634fd733feea1e7504e6a4ddc4" title="Data output.">DAT_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">conv_std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdllogic"></span><span class="vhdllogic">115200</span><span class="vhdlchar">,</span> <span class="vhdlchar">(</span><span class="vhdlchar">nBitsLarge</span><span class="vhdlchar">)</span><span class="vhdlchar">)</span>;<span class="keyword">   --115200 bps</span>
183
<a name="l00074"></a>00074                                                 <span class="vhdlkeyword">if</span> <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a69bf28b7e6429b3f3e35bee455901578" title="Ack input.">ACK_I</a></span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span> <span class="vhdlkeyword">then</span><span class="keyword"></span>
184
<a name="l00075"></a>00075 <span class="keyword">                                                        -- Byte received <span class="vhdlkeyword">wait</span> some cycles <span class="vhdlkeyword">to</span> continue</span>
185
<a name="l00076"></a>00076                                                         <span class="vhdlchar">masterSerialStates</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">wait_cycles</span>;
186
<a name="l00077"></a>00077                                                         <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#af163828b322f105b0c03724feea898ce" title="Signal byte received (Used to debug on the out leds)">byte_rec</a></span>        <span class="vhdlchar">&lt;=</span> <span class="vhdllogic">&quot;00000010&quot;</span>;
187
<a name="l00078"></a>00078                                                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
188
<a name="l00079"></a>00079
189
<a name="l00080"></a>00080                                         <span class="vhdlkeyword">when</span> <span class="vhdlchar">send_byte</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
190
<a name="l00081"></a>00081                                                 <span class="vhdlchar">nextState</span> <span class="vhdlchar">:=</span> <span class="vhdlchar">receive_byte</span>;
191
<a name="l00082"></a>00082                                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a2331d71c69b0b20c1901627667a01471" title="Address output.">ADR_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdllogic">&quot;10&quot;</span>;
192
<a name="l00083"></a>00083                                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a41e7e86f235d5f673607008142e1ecad" title="Write enable.">WE_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
193
<a name="l00084"></a>00084                                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#ac7ffa7be9c863895b0f1d1ec6e101169" title="Strobe output (Works like a chip select)">STB_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;<span class="keyword"></span>
194
<a name="l00085"></a>00085 <span class="keyword">                                                --DAT_O &lt;= conv_std_logic_vector(</span><span class="vhdllogic">64</span>, (nBitsLarge));     --Send the &#39;@&#39;
195
<a name="l00086"></a>00086                                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a6b78f3634fd733feea1e7504e6a4ddc4" title="Data output.">DAT_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">conv_std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">,</span> <span class="vhdlchar">(</span><span class="vhdlchar">nBitsLarge</span><span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">8</span><span class="vhdlchar">)</span><span class="vhdlchar">)</span> <span class="vhdlchar">&amp;</span> <span class="vhdlchar">byteIncome</span>;<span class="keyword"> --Send the &#39;@&#39;</span>
196
<a name="l00087"></a>00087                                                 <span class="vhdlkeyword">if</span> <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a69bf28b7e6429b3f3e35bee455901578" title="Ack input.">ACK_I</a></span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span> <span class="vhdlkeyword">then</span><span class="keyword"></span>
197
<a name="l00088"></a>00088 <span class="keyword">                                                        -- Byte received <span class="vhdlkeyword">wait</span> some cycles <span class="vhdlkeyword">to</span> continue</span>
198
<a name="l00089"></a>00089                                                         <span class="vhdlchar">masterSerialStates</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">wait_cycles</span>;
199
<a name="l00090"></a>00090                                                         <span class="vhdlchar">cycles2Wait</span>     <span class="vhdlchar">:=</span> <span class="vhdllogic"></span><span class="vhdllogic">7000000</span>;
200
<a name="l00091"></a>00091                                                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
201
<a name="l00092"></a>00092
202
<a name="l00093"></a>00093                                         <span class="vhdlkeyword">when</span> <span class="vhdlchar">receive_byte</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
203
<a name="l00094"></a>00094                                                 <span class="vhdlchar">nextState</span> <span class="vhdlchar">:=</span> <span class="vhdlchar">send_byte</span>;
204
<a name="l00095"></a>00095                                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a2331d71c69b0b20c1901627667a01471" title="Address output.">ADR_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdllogic">&quot;11&quot;</span>;
205
<a name="l00096"></a>00096                                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a41e7e86f235d5f673607008142e1ecad" title="Write enable.">WE_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
206
<a name="l00097"></a>00097                                                 <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#ac7ffa7be9c863895b0f1d1ec6e101169" title="Strobe output (Works like a chip select)">STB_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
207
<a name="l00098"></a>00098                                                 <span class="vhdlkeyword">if</span> <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#a69bf28b7e6429b3f3e35bee455901578" title="Ack input.">ACK_I</a></span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span> <span class="vhdlkeyword">then</span><span class="keyword"></span>
208
<a name="l00099"></a>00099 <span class="keyword">                                                        -- Byte received <span class="vhdlkeyword">wait</span> some cycles <span class="vhdlkeyword">to</span> continue</span>
209
<a name="l00100"></a>00100                                                         <span class="vhdlchar">masterSerialStates</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">wait_cycles</span>;
210
<a name="l00101"></a>00101                                                         <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#af163828b322f105b0c03724feea898ce" title="Signal byte received (Used to debug on the out leds)">byte_rec</a></span>        <span class="vhdlchar">&lt;=</span> <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#ab94f6b71e9a7ec24dab537723d8345d2" title="Data input.">DAT_I</a></span><span class="vhdlchar">(</span><span class="vhdllogic"></span><span class="vhdllogic">7</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
211
<a name="l00102"></a>00102                                                         <span class="vhdlchar">byteIncome</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#ab94f6b71e9a7ec24dab537723d8345d2" title="Data input.">DAT_I</a></span><span class="vhdlchar">(</span><span class="vhdllogic"></span><span class="vhdllogic">7</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
212
<a name="l00103"></a>00103                                                         <span class="vhdlchar">cycles2Wait</span>     <span class="vhdlchar">:=</span> <span class="vhdllogic"></span><span class="vhdllogic">7000000</span>;
213
<a name="l00104"></a>00104                                                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
214
<a name="l00105"></a>00105
215
<a name="l00106"></a>00106                                         <span class="vhdlkeyword">when</span> <span class="vhdlchar">wait_cycles</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span><span class="keyword"></span>
216
<a name="l00107"></a>00107 <span class="keyword">                                                -- <span class="vhdlkeyword">wait</span> some cycles </span>
217
<a name="l00108"></a>00108                                                 <span class="vhdlkeyword">if</span> <span class="vhdlchar">contWait</span> <span class="vhdlchar">&lt;</span> <span class="vhdlchar">cycles2Wait</span> <span class="vhdlkeyword">then</span>
218
<a name="l00109"></a>00109                                                         <span class="vhdlchar">contWait</span> <span class="vhdlchar">:=</span> <span class="vhdlchar">contWait</span> <span class="vhdlchar">+</span> <span class="vhdllogic"></span><span class="vhdllogic">1</span>;
219
<a name="l00110"></a>00110                                                         <span class="vhdlchar"><a class="code" href="class_s_e_r_i_a_l_m_a_s_t_e_r.html#ac7ffa7be9c863895b0f1d1ec6e101169" title="Strobe output (Works like a chip select)">STB_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
220
<a name="l00111"></a>00111                                                 <span class="vhdlkeyword">else</span>
221
<a name="l00112"></a>00112                                                         <span class="vhdlchar">contWait</span> <span class="vhdlchar">:=</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span>;
222
<a name="l00113"></a>00113                                                         <span class="vhdlchar">masterSerialStates</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">nextState</span>;
223
<a name="l00114"></a>00114                                                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
224
<a name="l00115"></a>00115                                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">case</span>;
225
<a name="l00116"></a>00116                         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
226
<a name="l00117"></a>00117                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
227
<a name="l00118"></a>00118         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">process</span>;
228
<a name="l00119"></a>00119
229
<a name="l00120"></a>00120
230
<a name="l00121"></a>00121 <span class="vhdlkeyword">end</span> <span class="vhdlchar">Behavioral</span>;
231
<a name="l00122"></a>00122
232
</pre></div></div><!-- contents -->
233
</div>
234
  <div id="nav-path" class="navpath">
235
    <ul>
236
      <li class="navelem"><a class="el" href="_s_e_r_i_a_l_m_a_s_t_e_r_8vhd.html">SERIALMASTER.vhd</a>      </li>
237
 
238
    <li class="footer">Generated on Sat May 12 2012 22:28:05 for Uart wishbone slave Documentation by
239
    <a href="http://www.doxygen.org/index.html">
240
    <img class="footer" src="doxygen.png" alt="doxygen"/></a> 1.8.0 </li>
241
   </ul>
242
 </div>
243
 
244
 
245
</body>
246
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.