OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [docs/] [doxygenDocs/] [latex/] [classbaud__generator_1_1_behavioral.tex] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 leonardoar
\section{Behavioral Architecture Reference}
2
\label{classbaud__generator_1_1_behavioral}\index{Behavioral@{Behavioral}}
3
 
4
 
5
Baud generator {\tt http\-://www.\-fpga4fun.\-com/\-Serial\-Interface.\-html}.
6
 
7
 
8
\\*
9
\\*
10
\subsection*{Processes}
11
 \begin{DoxyCompactItemize}
12
\item
13
{\bf P\-R\-O\-C\-E\-S\-S\-\_\-0}{\bfseries  ( {\bfseries {\bfseries {\bf rst}}   ,{\bfseries {\bf clk}}  ,{\bfseries {\bf cycle\-\_\-wait}}  } )}\label{classbaud__generator_1_1_behavioral_a91cffac6add43aba68e620fbdd18e50d}
14
 
15
\item
16
{\bf P\-R\-O\-C\-E\-S\-S\-\_\-1}{\bfseries  ( {\bfseries {\bfseries {\bf rst}}   ,{\bfseries {\bf clk}}  ,{\bfseries {\bf cycle\-\_\-wait}}  } )}\label{classbaud__generator_1_1_behavioral_add080eff6da8ce612cf318df924994d4}
17
 
18
\end{DoxyCompactItemize}
19
\subsection*{Signals}
20
 \begin{DoxyCompactItemize}
21
\item
22
{\bf gen\-Tick} {\bfseries std\-\_\-logic } \label{classbaud__generator_1_1_behavioral_a7ab332b1b42deb27e0c8543fa8f39f9f}
23
 
24
\item
25
{\bf gen\-Tick\-Over\-Sample} {\bfseries std\-\_\-logic } \label{classbaud__generator_1_1_behavioral_a5870f3a725434b0dbb56fc5da4ac5e0f}
26
 
27
\end{DoxyCompactItemize}
28
 
29
 
30
\subsection{Detailed Description}
31
Baud generator {\tt http\-://www.\-fpga4fun.\-com/\-Serial\-Interface.\-html}.
32
 
33
Implement block that will generate the desired baud (115200, 9600, etc...) from main clock (50\-Mhz)
34
 
35
Definition at line 22 of file baud\-\_\-generator.\-vhd.
36
 
37
 
38
 
39
The documentation for this class was generated from the following file\-:\begin{DoxyCompactItemize}
40
\item
41
E\-:/uart\-\_\-block/hdl/ise\-Project/{\bf baud\-\_\-generator.\-vhd}\end{DoxyCompactItemize}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.