OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [docs/] [doxygenDocs/] [latex/] [classserial__transmitter_1_1_behavioral.tex] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 leonardoar
\section{Behavioral Architecture Reference}
2
\label{classserial__transmitter_1_1_behavioral}\index{Behavioral@{Behavioral}}
3
 
4
 
5
Serial transmitter {\tt http\-://www.\-fpga4fun.\-com/\-Serial\-Interface.\-html}.
6
 
7
 
8
\\*
9
\\*
10
\subsection*{Processes}
11
 \begin{DoxyCompactItemize}
12
\item
13
{\bf P\-R\-O\-C\-E\-S\-S\-\_\-5}{\bfseries  ( {\bfseries {\bfseries {\bf rst}}   ,{\bfseries {\bf baud\-Clk}}  } )}\label{classserial__transmitter_1_1_behavioral_a82826f99e62c6a945ef0659e256a9a17}
14
 
15
\item
16
{\bf P\-R\-O\-C\-E\-S\-S\-\_\-6}{\bfseries  ( {\bfseries current\-\_\-s  ,{\bfseries {\bf data\-\_\-byte}}  } )}\label{classserial__transmitter_1_1_behavioral_ae9a73197cd4c2a8888d0bea4853b1ce5}
17
 
18
\end{DoxyCompactItemize}
19
\subsection*{Signals}
20
 \begin{DoxyCompactItemize}
21
\item
22
{\bf current\-\_\-s} {\bfseries tx\-States } \label{classserial__transmitter_1_1_behavioral_ada42051d3e39368808b60c3fa4725b22}
23
 
24
\item
25
{\bf next\-\_\-s} {\bfseries tx\-States } \label{classserial__transmitter_1_1_behavioral_a6a0ab6e3ac55ab764d07bb6705d598cc}
26
 
27
\end{DoxyCompactItemize}
28
 
29
 
30
\subsection{Detailed Description}
31
Serial transmitter {\tt http\-://www.\-fpga4fun.\-com/\-Serial\-Interface.\-html}.
32
 
33
Implement block that serialize the \char`\"{}data\-\_\-byte\char`\"{} signal on a stream of bits clocked out by \char`\"{}baud\-Clk\char`\"{}
34
 
35
Definition at line 19 of file serial\-\_\-transmitter.\-vhd.
36
 
37
 
38
 
39
The documentation for this class was generated from the following file\-:\begin{DoxyCompactItemize}
40
\item
41
E\-:/uart\-\_\-block/hdl/ise\-Project/{\bf serial\-\_\-transmitter.\-vhd}\end{DoxyCompactItemize}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.