OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_13/usb_fpga_2_13/trunk

Subversion Repositories usb_fpga_2_13

[/] [usb_fpga_2_13/] [trunk/] [constraints/] [usb-fpga-2.16.xdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
# !!! Constraint files are application specific !!!
2
# !!!          This is a template only          !!!
3
 
4
# on-board signals
5
 
6
# CLKOUT/FXCLK
7
create_clock -name fxclk_in -period 20.833 [get_ports fxclk_in]
8
set_property PACKAGE_PIN Y18 [get_ports fxclk_in]
9
set_property IOSTANDARD LVCMOS33 [get_ports fxclk_in]
10
 
11
# IFCLK
12
create_clock -name ifclk_in -period 20.833 [get_ports ifclk_in]
13
set_property PACKAGE_PIN J19 [get_ports ifclk_in]
14
set_property IOSTANDARD LVCMOS33 [get_ports ifclk_in]
15
 
16
 
17
set_property PACKAGE_PIN P20 [get_ports {PB[0]}]                ;# PB0/FD0
18
set_property IOSTANDARD LVCMOS33 [get_ports {PB[0]}]
19
 
20
set_property PACKAGE_PIN N17 [get_ports {PB[1]}]                ;# PB1/FD1
21
set_property IOSTANDARD LVCMOS33 [get_ports {PB[1]}]
22
 
23
set_property PACKAGE_PIN P21 [get_ports {PB[2]}]                ;# PB2/FD2
24
set_property IOSTANDARD LVCMOS33 [get_ports {PB[2]}]
25
 
26
set_property PACKAGE_PIN R21 [get_ports {PB[3]}]                ;# PB3/FD3
27
set_property IOSTANDARD LVCMOS33 [get_ports {PB[3]}]
28
 
29
set_property PACKAGE_PIN T21 [get_ports {PB[4]}]                ;# PB4/FD4
30
set_property IOSTANDARD LVCMOS33 [get_ports {PB[4]}]
31
 
32
set_property PACKAGE_PIN U21 [get_ports {PB[5]}]                ;# PB5/FD5
33
set_property IOSTANDARD LVCMOS33 [get_ports {PB[5]}]
34
 
35
set_property PACKAGE_PIN P19 [get_ports {PB[6]}]                ;# PB6/FD6
36
set_property IOSTANDARD LVCMOS33 [get_ports {PB[6]}]
37
 
38
set_property PACKAGE_PIN R19 [get_ports {PB[7]}]                ;# PB7/FD7
39
set_property IOSTANDARD LVCMOS33 [get_ports {PB[7]}]
40
 
41
 
42
set_property PACKAGE_PIN T20 [get_ports {PD[0]}]                ;# PD0/FD8
43
set_property IOSTANDARD LVCMOS33 [get_ports {PD[0]}]
44
 
45
set_property PACKAGE_PIN U20 [get_ports {PD[1]}]                ;# PD1/FD9
46
set_property IOSTANDARD LVCMOS33 [get_ports {PD[1]}]
47
 
48
set_property PACKAGE_PIN U18 [get_ports {PD[2]}]                ;# PD2/FD10
49
set_property IOSTANDARD LVCMOS33 [get_ports {PD[2]}]
50
 
51
set_property PACKAGE_PIN U17 [get_ports {PD[3]}]                ;# PD3/FD11
52
set_property IOSTANDARD LVCMOS33 [get_ports {PD[3]}]
53
 
54
set_property PACKAGE_PIN W19 [get_ports {PD[4]}]                ;# PD4/FD12
55
set_property IOSTANDARD LVCMOS33 [get_ports {PD[4]}]
56
 
57
set_property PACKAGE_PIN W20 [get_ports {PD[5]}]                ;# PD5/FD13
58
set_property IOSTANDARD LVCMOS33 [get_ports {PD[5]}]
59
 
60
set_property PACKAGE_PIN W21 [get_ports {PD[6]}]                ;# PD6/FD14
61
set_property IOSTANDARD LVCMOS33 [get_ports {PD[6]}]
62
 
63
set_property PACKAGE_PIN W22 [get_ports {PD[7]}]                ;# PD7/FD15
64
set_property IOSTANDARD LVCMOS33 [get_ports {PD[7]}]
65
 
66
 
67
set_property PACKAGE_PIN M22 [get_ports {PA[0]}]                ;# PA0/INT0#
68
set_property IOSTANDARD LVCMOS33 [get_ports {PA[0]}]
69
 
70
set_property PACKAGE_PIN M21 [get_ports {PA[1]}]                ;# PA1/INT1#
71
set_property IOSTANDARD LVCMOS33 [get_ports {PA[1]}]
72
 
73
set_property PACKAGE_PIN M20 [get_ports {PA[2]}]                ;# PA2/SLOE
74
set_property IOSTANDARD LVCMOS33 [get_ports {PA[2]}]
75
 
76
set_property PACKAGE_PIN M18 [get_ports {PA[3]}]                ;# PA3/WU2
77
set_property IOSTANDARD LVCMOS33 [get_ports {PA[3]}]
78
 
79
set_property PACKAGE_PIN N19 [get_ports {PA[4]}]                ;# PA4/FIFOADR0
80
set_property IOSTANDARD LVCMOS33 [get_ports {PA[4]}]
81
 
82
set_property PACKAGE_PIN N18 [get_ports {PA[5]}]                ;# PA5/FIFOADR1
83
set_property IOSTANDARD LVCMOS33 [get_ports {PA[5]}]
84
 
85
set_property PACKAGE_PIN P17 [get_ports {PA[6]}]                ;# PA6/PKTEND
86
set_property IOSTANDARD LVCMOS33 [get_ports {PA[6]}]
87
 
88
set_property PACKAGE_PIN R18 [get_ports {PA[7]}]                ;# PA7/FLAGD/SLCS#
89
set_property IOSTANDARD LVCMOS33 [get_ports {PA[7]}]
90
 
91
 
92
set_property PACKAGE_PIN L20 [get_ports {PC[0]}]                ;# PC0/GPIFADR0
93
set_property IOSTANDARD LVCMOS33 [get_ports {PC[0]}]
94
 
95
set_property PACKAGE_PIN L19 [get_ports {PC[1]}]                ;# PC1/GPIFADR1
96
set_property IOSTANDARD LVCMOS33 [get_ports {PC[1]}]
97
 
98
set_property PACKAGE_PIN L18 [get_ports {PC[2]}]                ;# PC2/GPIFADR2
99
set_property IOSTANDARD LVCMOS33 [get_ports {PC[2]}]
100
 
101
set_property PACKAGE_PIN L16 [get_ports {PC[3]}]                ;# PC3/GPIFADR3
102
set_property IOSTANDARD LVCMOS33 [get_ports {PC[3]}]
103
 
104
set_property PACKAGE_PIN R22 [get_ports {FLASH_DO}]             ;# PC4/GPIFADR4
105
set_property IOSTANDARD LVCMOS33 [get_ports {FLASH_DO}]
106
 
107
set_property PACKAGE_PIN T19 [get_ports {FLASH_CS}]             ;# PC5/GPIFADR5
108
set_property IOSTANDARD LVCMOS33 [get_ports {FLASH_CS}]
109
 
110
set_property PACKAGE_PIN L12 [get_ports {FLASH_CLK}]            ;# PC6/GPIFADR6
111
set_property IOSTANDARD LVCMOS33 [get_ports {FLASH_CLK}]
112
 
113
set_property PACKAGE_PIN P22 [get_ports {FLASH_DI}]             ;# PC7/GPIFADR7
114
set_property IOSTANDARD LVCMOS33 [get_ports {FLASH_DI}]
115
 
116
 
117
set_property PACKAGE_PIN G11 [get_ports {PE[0]}]                ;# PE0/T0OUT
118
set_property IOSTANDARD LVCMOS33 [get_ports {PE[0]}]
119
 
120
set_property PACKAGE_PIN U12 [get_ports {PE[1]}]                ;# PE1/T1OUT
121
set_property IOSTANDARD LVCMOS33 [get_ports {PE[1]}]
122
 
123
set_property PACKAGE_PIN V17 [get_ports {PE[2]}]                ;# PE2/T2OUT
124
set_property IOSTANDARD LVCMOS33 [get_ports {PE[2]}]
125
 
126
set_property PACKAGE_PIN AA19 [get_ports {PE[5]}]               ;# PE5/INT6
127
set_property IOSTANDARD LVCMOS33 [get_ports {PE[5]}]
128
 
129
set_property PACKAGE_PIN AB20 [get_ports {PE[6]}]               ;# PE6/T2EX
130
set_property IOSTANDARD LVCMOS33 [get_ports {PE[6]}]
131
 
132
 
133
set_property PACKAGE_PIN AB22 [get_ports {SLRD}]                ;# RDY0/SLRD
134
set_property IOSTANDARD LVCMOS33 [get_ports {SLRD}]
135
 
136
set_property PACKAGE_PIN AB21 [get_ports {SLWR}]                ;# RDY1/SLWR
137
set_property IOSTANDARD LVCMOS33 [get_ports {SLWR}]
138
 
139
set_property PACKAGE_PIN AB18 [get_ports {RDY2}]                ;# RDY2
140
set_property IOSTANDARD LVCMOS33 [get_ports {RDY2}]
141
 
142
set_property PACKAGE_PIN AA21 [get_ports {RDY3}]                ;# RDY3
143
set_property IOSTANDARD LVCMOS33 [get_ports {RDY3}]
144
 
145
set_property PACKAGE_PIN AA20 [get_ports {RDY4}]                ;# RDY4
146
set_property IOSTANDARD LVCMOS33 [get_ports {RDY4}]
147
 
148
set_property PACKAGE_PIN AA18 [get_ports {RDY5}]                ;# RDY5
149
set_property IOSTANDARD LVCMOS33 [get_ports {RDY5}]
150
 
151
 
152
set_property PACKAGE_PIN K19 [get_ports {FLAGA}]                ;# CTL0/FLAGA
153
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGA}]
154
 
155
set_property PACKAGE_PIN K18 [get_ports {FLAGB}]                ;# CTL1/FLAGB
156
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGB}]
157
 
158
set_property PACKAGE_PIN L21 [get_ports {FLAGC}]                ;# CTL2/FLAGC
159
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGC}]
160
 
161
set_property PACKAGE_PIN K22 [get_ports {CTL3}]                 ;# CTL3
162
set_property IOSTANDARD LVCMOS33 [get_ports {CTL3}]
163
 
164
set_property PACKAGE_PIN K21 [get_ports {CTL4}]                 ;# CTL4
165
set_property IOSTANDARD LVCMOS33 [get_ports {CTL4}]
166
 
167
 
168
set_property PACKAGE_PIN G13 [get_ports {INT4}]                 ;# INT4
169
set_property IOSTANDARD LVCMOS33 [get_ports {INT4}]
170
 
171
set_property PACKAGE_PIN V18 [get_ports {INT5_N}]               ;# INT5#
172
set_property IOSTANDARD LVCMOS33 [get_ports {INT5_N}]
173
 
174
set_property PACKAGE_PIN H22 [get_ports {T0}]           ;# T0
175
set_property IOSTANDARD LVCMOS33 [get_ports {T0}]
176
 
177
 
178
set_property PACKAGE_PIN H19 [get_ports {SCL}]                  ;# SCL
179
set_property IOSTANDARD LVCMOS33 [get_ports {SCL}]
180
 
181
set_property PACKAGE_PIN H20 [get_ports {SDA}]                  ;# SDA
182
set_property IOSTANDARD LVCMOS33 [get_ports {SDA}]
183
 
184
 
185
set_property PACKAGE_PIN J16 [get_ports {RxD0}]                 ;# RxD0
186
set_property IOSTANDARD LVCMOS33 [get_ports {RxD0}]
187
 
188
set_property PACKAGE_PIN H15 [get_ports {TxD0}]                 ;# TxD0
189
set_property IOSTANDARD LVCMOS33 [get_ports {TxD0}]
190
 
191
 
192
# external I/O
193
 
194
set_property PACKAGE_PIN E22 [get_ports {IO_A[0]}]              ;# A3 / E22~IO_L22P_T3_16
195
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[0]}]
196
 
197
set_property PACKAGE_PIN C22 [get_ports {IO_A[1]}]              ;# A4 / C22~IO_L20P_T3_16
198
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[1]}]
199
 
200
set_property PACKAGE_PIN E21 [get_ports {IO_A[2]}]              ;# A5 / E21~IO_L23P_T3_16
201
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[2]}]
202
 
203
set_property PACKAGE_PIN B21 [get_ports {IO_A[3]}]              ;# A6 / B21~IO_L21P_T3_DQS_16
204
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[3]}]
205
 
206
set_property PACKAGE_PIN D20 [get_ports {IO_A[4]}]              ;# A7 / D20~IO_L19P_T3_16
207
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[4]}]
208
 
209
set_property PACKAGE_PIN B20 [get_ports {IO_A[5]}]              ;# A8 / B20~IO_L16P_T2_16
210
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[5]}]
211
 
212
set_property PACKAGE_PIN C19 [get_ports {IO_A[6]}]              ;# A9 / C19~IO_L13N_T2_MRCC_16
213
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[6]}]
214
 
215
set_property PACKAGE_PIN C18 [get_ports {IO_A[7]}]              ;# A10 / C18~IO_L13P_T2_MRCC_16
216
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[7]}]
217
 
218
set_property PACKAGE_PIN B18 [get_ports {IO_A[8]}]              ;# A11 / B18~IO_L11N_T1_SRCC_16
219
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[8]}]
220
 
221
set_property PACKAGE_PIN B17 [get_ports {IO_A[9]}]              ;# A12 / B17~IO_L11P_T1_SRCC_16
222
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[9]}]
223
 
224
set_property PACKAGE_PIN B16 [get_ports {IO_A[10]}]             ;# A13 / B16~IO_L7N_T1_16
225
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[10]}]
226
 
227
set_property PACKAGE_PIN A16 [get_ports {IO_A[11]}]             ;# A14 / A16~IO_L9N_T1_DQS_16
228
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[11]}]
229
 
230
set_property PACKAGE_PIN A14 [get_ports {IO_A[12]}]             ;# A18 / A14~IO_L10N_T1_16
231
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[12]}]
232
 
233
set_property PACKAGE_PIN D15 [get_ports {IO_A[13]}]             ;# A19 / D15~IO_L6N_T0_VREF_16
234
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[13]}]
235
 
236
set_property PACKAGE_PIN B13 [get_ports {IO_A[14]}]             ;# A20 / B13~IO_L8N_T1_16
237
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[14]}]
238
 
239
set_property PACKAGE_PIN N3 [get_ports {IO_A[15]}]              ;# A21 / N3~IO_L19N_T3_VREF_35
240
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[15]}]
241
 
242
set_property PACKAGE_PIN H4 [get_ports {IO_A[16]}]              ;# A22 / H4~IO_L12P_T1_MRCC_35
243
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[16]}]
244
 
245
set_property PACKAGE_PIN G4 [get_ports {IO_A[17]}]              ;# A23 / G4~IO_L12N_T1_MRCC_35
246
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[17]}]
247
 
248
set_property PACKAGE_PIN E3 [get_ports {IO_A[18]}]              ;# A24 / E3~IO_L6N_T0_VREF_35
249
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[18]}]
250
 
251
set_property PACKAGE_PIN B2 [get_ports {IO_A[19]}]              ;# A25 / B2~IO_L2N_T0_AD12N_35
252
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[19]}]
253
 
254
set_property PACKAGE_PIN D2 [get_ports {IO_A[20]}]              ;# A26 / D2~IO_L4N_T0_35
255
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[20]}]
256
 
257
set_property PACKAGE_PIN G2 [get_ports {IO_A[21]}]              ;# A27 / G2~IO_L8N_T1_AD14N_35
258
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[21]}]
259
 
260
set_property PACKAGE_PIN A1 [get_ports {IO_A[22]}]              ;# A28 / A1~IO_L1N_T0_AD4N_35
261
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[22]}]
262
 
263
set_property PACKAGE_PIN D1 [get_ports {IO_A[23]}]              ;# A29 / D1~IO_L3N_T0_DQS_AD5N_35
264
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[23]}]
265
 
266
set_property PACKAGE_PIN G1 [get_ports {IO_A[24]}]              ;# A30 / G1~IO_L5P_T0_AD13P_35
267
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[24]}]
268
 
269
 
270
set_property PACKAGE_PIN D22 [get_ports {IO_B[0]}]              ;# B3 / D22~IO_L22N_T3_16
271
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[0]}]
272
 
273
set_property PACKAGE_PIN B22 [get_ports {IO_B[1]}]              ;# B4 / B22~IO_L20N_T3_16
274
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[1]}]
275
 
276
set_property PACKAGE_PIN D21 [get_ports {IO_B[2]}]              ;# B5 / D21~IO_L23N_T3_16
277
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[2]}]
278
 
279
set_property PACKAGE_PIN A21 [get_ports {IO_B[3]}]              ;# B6 / A21~IO_L21N_T3_DQS_16
280
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[3]}]
281
 
282
set_property PACKAGE_PIN C20 [get_ports {IO_B[4]}]              ;# B7 / C20~IO_L19N_T3_VREF_16
283
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[4]}]
284
 
285
set_property PACKAGE_PIN A20 [get_ports {IO_B[5]}]              ;# B8 / A20~IO_L16N_T2_16
286
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[5]}]
287
 
288
set_property PACKAGE_PIN A19 [get_ports {IO_B[6]}]              ;# B9 / A19~IO_L17N_T2_16
289
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[6]}]
290
 
291
set_property PACKAGE_PIN A18 [get_ports {IO_B[7]}]              ;# B10 / A18~IO_L17P_T2_16
292
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[7]}]
293
 
294
set_property PACKAGE_PIN D17 [get_ports {IO_B[8]}]              ;# B11 / D17~IO_L12P_T1_MRCC_16
295
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[8]}]
296
 
297
set_property PACKAGE_PIN C17 [get_ports {IO_B[9]}]              ;# B12 / C17~IO_L12N_T1_MRCC_16
298
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[9]}]
299
 
300
set_property PACKAGE_PIN B15 [get_ports {IO_B[10]}]             ;# B13 / B15~IO_L7P_T1_16
301
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[10]}]
302
 
303
set_property PACKAGE_PIN A15 [get_ports {IO_B[11]}]             ;# B14 / A15~IO_L9P_T1_DQS_16
304
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[11]}]
305
 
306
set_property PACKAGE_PIN A13 [get_ports {IO_B[12]}]             ;# B18 / A13~IO_L10P_T1_16
307
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[12]}]
308
 
309
set_property PACKAGE_PIN D14 [get_ports {IO_B[13]}]             ;# B19 / D14~IO_L6P_T0_16
310
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[13]}]
311
 
312
set_property PACKAGE_PIN C13 [get_ports {IO_B[14]}]             ;# B20 / C13~IO_L8P_T1_16
313
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[14]}]
314
 
315
set_property PACKAGE_PIN H3 [get_ports {IO_B[15]}]              ;# B21 / H3~IO_L11P_T1_SRCC_35
316
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[15]}]
317
 
318
set_property PACKAGE_PIN G3 [get_ports {IO_B[16]}]              ;# B22 / G3~IO_L11N_T1_SRCC_35
319
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[16]}]
320
 
321
set_property PACKAGE_PIN F4 [get_ports {IO_B[17]}]              ;# B23 / F4~IO_0_35
322
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[17]}]
323
 
324
set_property PACKAGE_PIN F3 [get_ports {IO_B[18]}]              ;# B24 / F3~IO_L6P_T0_35
325
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[18]}]
326
 
327
set_property PACKAGE_PIN C2 [get_ports {IO_B[19]}]              ;# B25 / C2~IO_L2P_T0_AD12P_35
328
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[19]}]
329
 
330
set_property PACKAGE_PIN E2 [get_ports {IO_B[20]}]              ;# B26 / E2~IO_L4P_T0_35
331
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[20]}]
332
 
333
set_property PACKAGE_PIN H2 [get_ports {IO_B[21]}]              ;# B27 / H2~IO_L8P_T1_AD14P_35
334
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[21]}]
335
 
336
set_property PACKAGE_PIN B1 [get_ports {IO_B[22]}]              ;# B28 / B1~IO_L1P_T0_AD4P_35
337
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[22]}]
338
 
339
set_property PACKAGE_PIN E1 [get_ports {IO_B[23]}]              ;# B29 / E1~IO_L3P_T0_DQS_AD5P_35
340
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[23]}]
341
 
342
set_property PACKAGE_PIN F1 [get_ports {IO_B[24]}]              ;# B30 / F1~IO_L5N_T0_AD13N_35
343
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[24]}]
344
 
345
 
346
set_property PACKAGE_PIN AB17 [get_ports {IO_C[0]}]             ;# C3 / AB17~IO_L2N_T0_13
347
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[0]}]
348
 
349
set_property PACKAGE_PIN Y16 [get_ports {IO_C[1]}]              ;# C4 / Y16~IO_L1P_T0_13
350
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[1]}]
351
 
352
set_property PACKAGE_PIN AA15 [get_ports {IO_C[2]}]             ;# C5 / AA15~IO_L4P_T0_13
353
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[2]}]
354
 
355
set_property PACKAGE_PIN Y13 [get_ports {IO_C[3]}]              ;# C6 / Y13~IO_L5P_T0_13
356
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[3]}]
357
 
358
set_property PACKAGE_PIN W14 [get_ports {IO_C[4]}]              ;# C7 / W14~IO_L6P_T0_13
359
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[4]}]
360
 
361
set_property PACKAGE_PIN AA13 [get_ports {IO_C[5]}]             ;# C8 / AA13~IO_L3P_T0_DQS_13
362
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[5]}]
363
 
364
set_property PACKAGE_PIN AB12 [get_ports {IO_C[6]}]             ;# C9 / AB12~IO_L7N_T1_13
365
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[6]}]
366
 
367
set_property PACKAGE_PIN W12 [get_ports {IO_C[7]}]              ;# C10 / W12~IO_L12N_T1_MRCC_13
368
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[7]}]
369
 
370
set_property PACKAGE_PIN AA11 [get_ports {IO_C[8]}]             ;# C11 / AA11~IO_L9N_T1_DQS_13
371
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[8]}]
372
 
373
set_property PACKAGE_PIN AA9 [get_ports {IO_C[9]}]              ;# C12 / AA9~IO_L8P_T1_13
374
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[9]}]
375
 
376
set_property PACKAGE_PIN W9 [get_ports {IO_C[10]}]              ;# C13 / W9~IO_L24P_T3_34
377
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[10]}]
378
 
379
set_property PACKAGE_PIN AA8 [get_ports {IO_C[11]}]             ;# C14 / AA8~IO_L22P_T3_34
380
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[11]}]
381
 
382
set_property PACKAGE_PIN V7 [get_ports {IO_C[12]}]              ;# C15 / V7~IO_L19P_T3_34
383
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[12]}]
384
 
385
set_property PACKAGE_PIN AB6 [get_ports {IO_C[13]}]             ;# C19 / AB6~IO_L20N_T3_34
386
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[13]}]
387
 
388
set_property PACKAGE_PIN AA5 [get_ports {IO_C[14]}]             ;# C20 / AA5~IO_L10P_T1_34
389
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[14]}]
390
 
391
set_property PACKAGE_PIN Y4 [get_ports {IO_C[15]}]              ;# C21 / Y4~IO_L11P_T1_SRCC_34
392
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[15]}]
393
 
394
set_property PACKAGE_PIN V4 [get_ports {IO_C[16]}]              ;# C22 / V4~IO_L12P_T1_MRCC_34
395
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[16]}]
396
 
397
set_property PACKAGE_PIN Y3 [get_ports {IO_C[17]}]              ;# C23 / Y3~IO_L9P_T1_DQS_34
398
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[17]}]
399
 
400
set_property PACKAGE_PIN U3 [get_ports {IO_C[18]}]              ;# C24 / U3~IO_L6P_T0_34
401
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[18]}]
402
 
403
set_property PACKAGE_PIN AB3 [get_ports {IO_C[19]}]             ;# C25 / AB3~IO_L8P_T1_34
404
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[19]}]
405
 
406
set_property PACKAGE_PIN W2 [get_ports {IO_C[20]}]              ;# C26 / W2~IO_L4P_T0_34
407
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[20]}]
408
 
409
set_property PACKAGE_PIN U2 [get_ports {IO_C[21]}]              ;# C27 / U2~IO_L2P_T0_34
410
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[21]}]
411
 
412
set_property PACKAGE_PIN AA1 [get_ports {IO_C[22]}]             ;# C28 / AA1~IO_L7P_T1_34
413
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[22]}]
414
 
415
set_property PACKAGE_PIN W1 [get_ports {IO_C[23]}]              ;# C29 / W1~IO_L5P_T0_34
416
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[23]}]
417
 
418
set_property PACKAGE_PIN T1 [get_ports {IO_C[24]}]              ;# C30 / T1~IO_L1P_T0_34
419
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[24]}]
420
 
421
 
422
set_property PACKAGE_PIN AB16 [get_ports {IO_D[0]}]             ;# D3 / AB16~IO_L2P_T0_13
423
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[0]}]
424
 
425
set_property PACKAGE_PIN AA16 [get_ports {IO_D[1]}]             ;# D4 / AA16~IO_L1N_T0_13
426
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[1]}]
427
 
428
set_property PACKAGE_PIN AB15 [get_ports {IO_D[2]}]             ;# D5 / AB15~IO_L4N_T0_13
429
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[2]}]
430
 
431
set_property PACKAGE_PIN AA14 [get_ports {IO_D[3]}]             ;# D6 / AA14~IO_L5N_T0_13
432
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[3]}]
433
 
434
set_property PACKAGE_PIN Y14 [get_ports {IO_D[4]}]              ;# D7 / Y14~IO_L6N_T0_VREF_13
435
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[4]}]
436
 
437
set_property PACKAGE_PIN AB13 [get_ports {IO_D[5]}]             ;# D8 / AB13~IO_L3N_T0_DQS_13
438
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[5]}]
439
 
440
set_property PACKAGE_PIN AB11 [get_ports {IO_D[6]}]             ;# D9 / AB11~IO_L7P_T1_13
441
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[6]}]
442
 
443
set_property PACKAGE_PIN W11 [get_ports {IO_D[7]}]              ;# D10 / W11~IO_L12P_T1_MRCC_13
444
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[7]}]
445
 
446
set_property PACKAGE_PIN AA10 [get_ports {IO_D[8]}]             ;# D11 / AA10~IO_L9P_T1_DQS_13
447
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[8]}]
448
 
449
set_property PACKAGE_PIN AB10 [get_ports {IO_D[9]}]             ;# D12 / AB10~IO_L8N_T1_13
450
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[9]}]
451
 
452
set_property PACKAGE_PIN Y9 [get_ports {IO_D[10]}]              ;# D13 / Y9~IO_L24N_T3_34
453
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[10]}]
454
 
455
set_property PACKAGE_PIN AB8 [get_ports {IO_D[11]}]             ;# D14 / AB8~IO_L22N_T3_34
456
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[11]}]
457
 
458
set_property PACKAGE_PIN W7 [get_ports {IO_D[12]}]              ;# D15 / W7~IO_L19N_T3_VREF_34
459
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[12]}]
460
 
461
set_property PACKAGE_PIN AB7 [get_ports {IO_D[13]}]             ;# D19 / AB7~IO_L20P_T3_34
462
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[13]}]
463
 
464
set_property PACKAGE_PIN AB5 [get_ports {IO_D[14]}]             ;# D20 / AB5~IO_L10N_T1_34
465
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[14]}]
466
 
467
set_property PACKAGE_PIN AA4 [get_ports {IO_D[15]}]             ;# D21 / AA4~IO_L11N_T1_SRCC_34
468
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[15]}]
469
 
470
set_property PACKAGE_PIN W4 [get_ports {IO_D[16]}]              ;# D22 / W4~IO_L12N_T1_MRCC_34
471
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[16]}]
472
 
473
set_property PACKAGE_PIN AA3 [get_ports {IO_D[17]}]             ;# D23 / AA3~IO_L9N_T1_DQS_34
474
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[17]}]
475
 
476
set_property PACKAGE_PIN V3 [get_ports {IO_D[18]}]              ;# D24 / V3~IO_L6N_T0_VREF_34
477
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[18]}]
478
 
479
set_property PACKAGE_PIN AB2 [get_ports {IO_D[19]}]             ;# D25 / AB2~IO_L8N_T1_34
480
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[19]}]
481
 
482
set_property PACKAGE_PIN Y2 [get_ports {IO_D[20]}]              ;# D26 / Y2~IO_L4N_T0_34
483
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[20]}]
484
 
485
set_property PACKAGE_PIN V2 [get_ports {IO_D[21]}]              ;# D27 / V2~IO_L2N_T0_34
486
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[21]}]
487
 
488
set_property PACKAGE_PIN AB1 [get_ports {IO_D[22]}]             ;# D28 / AB1~IO_L7N_T1_34
489
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[22]}]
490
 
491
set_property PACKAGE_PIN Y1 [get_ports {IO_D[23]}]              ;# D29 / Y1~IO_L5N_T0_34
492
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[23]}]
493
 
494
set_property PACKAGE_PIN U1 [get_ports {IO_D[24]}]              ;# D30 / U1~IO_L1N_T0_34
495
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[24]}]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.