OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_13/usb_fpga_2_13/trunk

Subversion Repositories usb_fpga_2_13

[/] [usb_fpga_2_13/] [trunk/] [constraints/] [usb-fpga-2.xdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
# bitstream settings for all ZTEX Series 2 FPGA Boards
2
set_property BITSTREAM.CONFIG.CONFIGRATE 66 [current_design]
3
set_property BITSTREAM.CONFIG.SPI_32BIT_ADDR No [current_design]
4
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 2 [current_design]
5
set_property BITSTREAM.GENERAL.COMPRESS true [current_design]
6
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.