OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_13/usb_fpga_2_13/trunk

Subversion Repositories usb_fpga_2_13

[/] [usb_fpga_2_13/] [trunk/] [examples/] [usb-fpga-1.15/] [1.15a/] [lightshow/] [fpga/] [lightshow.ucf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
NET "CLK" TNM_NET = "CLK";
2
TIMESPEC "TS_CLK" = PERIOD "CLK" 20 ns HIGH 50 %;
3
# NET "CLK"  LOC = "L22" | IOSTANDARD = LVCMOS33 ;      # EZ-USB clock
4
NET "CLK"  LOC = "AB12" | IOSTANDARD = LVCMOS33 ;               # xmega clock
5
 
6
NET "led<0>"  LOC = "D11" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;   # ph<0>
7
NET "led<1>"  LOC = "F10" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;        # ph<1>
8
NET "led<2>"  LOC = "D10" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;        # ph<2>
9
NET "led<3>"  LOC = "D9" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;         # ph<3>
10
NET "led<4>"  LOC = "B8" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;         # ph<4>
11
NET "led<5>"  LOC = "D7" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;         # ph<5>
12
NET "led<6>"  LOC = "D6" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;         # ph<6>
13
NET "led<7>"  LOC = "C5" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;         # ph<7>
14
NET "led<8>"  LOC = "W12" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;        # pj<0>
15
NET "led<9>"  LOC = "W9" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;         # pj<1>
16
NET "led<10>"  LOC = "T14" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;       # pj<2>
17
NET "led<11>"  LOC = "Y13" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;       # pj<3>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.