OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_13/usb_fpga_2_13/trunk

Subversion Repositories usb_fpga_2_13

[/] [usb_fpga_2_13/] [trunk/] [examples/] [usb-fpga-1.15/] [1.15b/] [memtest/] [fpga/] [memtest.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
library ieee;
2
use IEEE.std_logic_1164.all;
3
use IEEE.std_logic_arith.all;
4
use IEEE.std_logic_unsigned.all;
5
Library UNISIM;
6
use UNISIM.vcomponents.all;
7
 
8
entity memtest is
9
    port(
10
        FXCLK         : in std_logic;
11
        RESET_IN      : in std_logic;
12
        IFCLK         : in std_logic;
13
        PC0           : in std_logic;
14
 
15
        -- FX2 FIFO
16
        FD            : out std_logic_vector(15 downto 0);
17
 
18
        SLOE          : out std_logic;
19
        SLRD          : out std_logic;
20
        SLWR          : out std_logic;
21
        FIFOADR0      : out std_logic;
22
        FIFOADR1      : out std_logic;
23
        PKTEND        : out std_logic;
24
 
25
        FLAGB         : in std_logic;
26
 
27
        -- DDR-SDRAM
28
        mcb3_dram_dq     : inout std_logic_vector(15 downto 0);
29
        mcb3_rzq         : inout std_logic;
30
        mcb3_zio         : inout std_logic;
31
        mcb3_dram_udqs   : inout std_logic;
32
        mcb3_dram_udqs_n : inout std_logic;
33
        mcb3_dram_dqs    : inout std_logic;
34
        mcb3_dram_dqs_n  : inout std_logic;
35
        mcb3_dram_a      : out std_logic_vector(12 downto 0);
36
        mcb3_dram_ba     : out std_logic_vector(2 downto 0);
37
        mcb3_dram_cke    : out std_logic;
38
        mcb3_dram_ras_n  : out std_logic;
39
        mcb3_dram_cas_n  : out std_logic;
40
        mcb3_dram_we_n   : out std_logic;
41
--        mcb3_dram_odt    : out std_logic;
42
        mcb3_dram_dm     : out std_logic;
43
        mcb3_dram_udm    : out std_logic;
44
        mcb3_dram_ck     : out std_logic;
45
        mcb3_dram_ck_n   : out std_logic
46
    );
47
end memtest;
48
 
49
 
50
architecture RTL of memtest is
51
 
52
component mem0
53
    generic (
54
        C3_P0_MASK_SIZE       : integer := 4;
55
        C3_P0_DATA_PORT_SIZE  : integer := 32;
56
        C3_P1_MASK_SIZE       : integer := 4;
57
        C3_P1_DATA_PORT_SIZE  : integer := 32;
58
        C3_MEMCLK_PERIOD      : integer := 2500;
59
        C3_INPUT_CLK_TYPE     : string := "SINGLE_ENDED";
60
        C3_RST_ACT_LOW        : integer := 0;
61
        C3_CALIB_SOFT_IP      : string := "FALSE";
62
        C3_MEM_ADDR_ORDER     : string := "ROW_BANK_COLUMN";
63
        C3_NUM_DQ_PINS        : integer := 16;
64
        C3_MEM_ADDR_WIDTH     : integer := 13;
65
        C3_MEM_BANKADDR_WIDTH : integer := 3
66
    );
67
 
68
   port (
69
        mcb3_dram_dq         : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0);
70
        mcb3_dram_a          : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0);
71
        mcb3_dram_ba         : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0);
72
        mcb3_dram_cke        : out std_logic;
73
        mcb3_dram_ras_n      : out std_logic;
74
        mcb3_dram_cas_n      : out std_logic;
75
        mcb3_dram_we_n       : out std_logic;
76
        mcb3_dram_dm         : out std_logic;
77
        mcb3_dram_udqs       : inout std_logic;
78
        mcb3_dram_udqs_n     : inout std_logic;
79
        mcb3_rzq             : inout std_logic;
80
        mcb3_zio             : inout std_logic;
81
        mcb3_dram_udm        : out std_logic;
82
        mcb3_dram_dqs        : inout std_logic;
83
        mcb3_dram_dqs_n      : inout std_logic;
84
        mcb3_dram_ck         : out std_logic;
85
        mcb3_dram_ck_n       : out std_logic;
86
--        mcb3_dram_odt        : out std_logic;
87
 
88
        c3_sys_clk           : in std_logic;
89
        c3_sys_rst_n         : in std_logic;
90
 
91
        c3_calib_done        : out std_logic;
92
        c3_clk0              : out std_logic;
93
        c3_rst0              : out std_logic;
94
 
95
        c3_p0_cmd_clk        : in std_logic;
96
        c3_p0_cmd_en         : in std_logic;
97
        c3_p0_cmd_instr      : in std_logic_vector(2 downto 0);
98
        c3_p0_cmd_bl         : in std_logic_vector(5 downto 0);
99
        c3_p0_cmd_byte_addr  : in std_logic_vector(29 downto 0);
100
        c3_p0_cmd_empty      : out std_logic;
101
        c3_p0_cmd_full       : out std_logic;
102
        c3_p0_wr_clk         : in std_logic;
103
        c3_p0_wr_en          : in std_logic;
104
        c3_p0_wr_mask        : in std_logic_vector(C3_P0_MASK_SIZE - 1 downto 0);
105
        c3_p0_wr_data        : in std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
106
        c3_p0_wr_full        : out std_logic;
107
        c3_p0_wr_empty       : out std_logic;
108
        c3_p0_wr_count       : out std_logic_vector(6 downto 0);
109
        c3_p0_wr_underrun    : out std_logic;
110
        c3_p0_wr_error       : out std_logic;
111
        c3_p0_rd_clk         : in std_logic;
112
        c3_p0_rd_en          : in std_logic;
113
        c3_p0_rd_data        : out std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
114
        c3_p0_rd_full        : out std_logic;
115
        c3_p0_rd_empty       : out std_logic;
116
        c3_p0_rd_count       : out std_logic_vector(6 downto 0);
117
        c3_p0_rd_overflow    : out std_logic;
118
        c3_p0_rd_error       : out std_logic;
119
 
120
        c3_p1_cmd_clk        : in std_logic;
121
        c3_p1_cmd_en         : in std_logic;
122
        c3_p1_cmd_instr      : in std_logic_vector(2 downto 0);
123
        c3_p1_cmd_bl         : in std_logic_vector(5 downto 0);
124
        c3_p1_cmd_byte_addr  : in std_logic_vector(29 downto 0);
125
        c3_p1_cmd_empty      : out std_logic;
126
        c3_p1_cmd_full       : out std_logic;
127
        c3_p1_wr_clk         : in std_logic;
128
        c3_p1_wr_en          : in std_logic;
129
        c3_p1_wr_mask        : in std_logic_vector(C3_P1_MASK_SIZE - 1 downto 0);
130
        c3_p1_wr_data        : in std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
131
        c3_p1_wr_full        : out std_logic;
132
        c3_p1_wr_empty       : out std_logic;
133
        c3_p1_wr_count       : out std_logic_vector(6 downto 0);
134
        c3_p1_wr_underrun    : out std_logic;
135
        c3_p1_wr_error       : out std_logic;
136
        c3_p1_rd_clk         : in std_logic;
137
        c3_p1_rd_en          : in std_logic;
138
        c3_p1_rd_data        : out std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
139
        c3_p1_rd_full        : out std_logic;
140
        c3_p1_rd_empty       : out std_logic;
141
        c3_p1_rd_count       : out std_logic_vector(6 downto 0);
142
        c3_p1_rd_overflow    : out std_logic;
143
        c3_p1_rd_error       : out std_logic;
144
 
145
        c3_p2_cmd_clk        : in std_logic;
146
        c3_p2_cmd_en         : in std_logic;
147
        c3_p2_cmd_instr      : in std_logic_vector(2 downto 0);
148
        c3_p2_cmd_bl         : in std_logic_vector(5 downto 0);
149
        c3_p2_cmd_byte_addr  : in std_logic_vector(29 downto 0);
150
        c3_p2_cmd_empty      : out std_logic;
151
        c3_p2_cmd_full       : out std_logic;
152
        c3_p2_wr_clk         : in std_logic;
153
        c3_p2_wr_en          : in std_logic;
154
        c3_p2_wr_mask        : in std_logic_vector(3 downto 0);
155
        c3_p2_wr_data        : in std_logic_vector(31 downto 0);
156
        c3_p2_wr_full        : out std_logic;
157
        c3_p2_wr_empty       : out std_logic;
158
        c3_p2_wr_count       : out std_logic_vector(6 downto 0);
159
        c3_p2_wr_underrun    : out std_logic;
160
        c3_p2_wr_error       : out std_logic;
161
 
162
        c3_p3_cmd_clk        : in std_logic;
163
        c3_p3_cmd_en         : in std_logic;
164
        c3_p3_cmd_instr      : in std_logic_vector(2 downto 0);
165
        c3_p3_cmd_bl         : in std_logic_vector(5 downto 0);
166
        c3_p3_cmd_byte_addr  : in std_logic_vector(29 downto 0);
167
        c3_p3_cmd_empty      : out std_logic;
168
        c3_p3_cmd_full       : out std_logic;
169
        c3_p3_rd_clk         : in std_logic;
170
        c3_p3_rd_en          : in std_logic;
171
        c3_p3_rd_data        : out std_logic_vector(31 downto 0);
172
        c3_p3_rd_full        : out std_logic;
173
        c3_p3_rd_empty       : out std_logic;
174
        c3_p3_rd_count       : out std_logic_vector(6 downto 0);
175
        c3_p3_rd_overflow    : out std_logic;
176
        c3_p3_rd_error       : out std_logic;
177
 
178
        c3_p4_cmd_clk        : in std_logic;
179
        c3_p4_cmd_en         : in std_logic;
180
        c3_p4_cmd_instr      : in std_logic_vector(2 downto 0);
181
        c3_p4_cmd_bl         : in std_logic_vector(5 downto 0);
182
        c3_p4_cmd_byte_addr  : in std_logic_vector(29 downto 0);
183
        c3_p4_cmd_empty      : out std_logic;
184
        c3_p4_cmd_full       : out std_logic;
185
        c3_p4_wr_clk         : in std_logic;
186
        c3_p4_wr_en          : in std_logic;
187
        c3_p4_wr_mask        : in std_logic_vector(3 downto 0);
188
        c3_p4_wr_data        : in std_logic_vector(31 downto 0);
189
        c3_p4_wr_full        : out std_logic;
190
        c3_p4_wr_empty       : out std_logic;
191
        c3_p4_wr_count       : out std_logic_vector(6 downto 0);
192
        c3_p4_wr_underrun    : out std_logic;
193
        c3_p4_wr_error       : out std_logic;
194
 
195
        c3_p5_cmd_clk        : in std_logic;
196
        c3_p5_cmd_en         : in std_logic;
197
        c3_p5_cmd_instr      : in std_logic_vector(2 downto 0);
198
        c3_p5_cmd_bl         : in std_logic_vector(5 downto 0);
199
        c3_p5_cmd_byte_addr  : in std_logic_vector(29 downto 0);
200
        c3_p5_cmd_empty      : out std_logic;
201
        c3_p5_cmd_full       : out std_logic;
202
        c3_p5_rd_clk         : in std_logic;
203
        c3_p5_rd_en          : in std_logic;
204
        c3_p5_rd_data        : out std_logic_vector(31 downto 0);
205
        c3_p5_rd_full        : out std_logic;
206
        c3_p5_rd_empty       : out std_logic;
207
        c3_p5_rd_count       : out std_logic_vector(6 downto 0);
208
        c3_p5_rd_overflow    : out std_logic;
209
        c3_p5_rd_error       : out std_logic
210
);
211
end component;
212
 
213
--attribute optimize : string;
214
--attribute optimize of counters:entity is "off";
215
 
216
signal fxclk_buf : std_logic;
217
signal CLK : std_logic;
218
signal RESET0 : std_logic;      -- released after dcm0 is ready
219
signal RESET : std_logic;       -- released after MCB is ready
220
 
221
signal DCM0_LOCKED : std_logic;
222
--signal DCM0_CLK_VALID : std_logic;
223
 
224
----------------------------
225
-- test pattern generator --
226
----------------------------
227
signal GEN_CNT : std_logic_vector(29 downto 0);
228
signal GEN_PATTERN : std_logic_vector(29 downto 0);
229
 
230
signal FIFO_WORD : std_logic;
231
 
232
-----------------------
233
-- memory controller --
234
-----------------------
235
signal MEM_CLK : std_logic;
236
signal C3_CALIB_DONE : std_logic;
237
signal C3_RST0 : std_logic;
238
 
239
---------------
240
-- DRAM FIFO --
241
---------------
242
signal WR_CLK       : std_logic;
243
signal WR_CMD_EN    : std_logic_vector(2 downto 0);
244
type WR_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
245
signal WR_CMD_ADDR  : WR_CMD_ADDR_ARRAY;
246
signal WR_ADDR      : std_logic_vector(18 downto 0);   -- in 256 bytes burst blocks
247
signal WR_EN        : std_logic_vector(2 downto 0);
248
signal WR_EN_TMP    : std_logic_vector(2 downto 0);
249
signal WR_DATA      : std_logic_vector(31 downto 0);
250
signal WR_EMPTY     : std_logic_vector(2 downto 0);
251
signal WR_UNDERRUN  : std_logic_vector(2 downto 0);
252
signal WR_ERROR     : std_logic_vector(2 downto 0);
253
type WR_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
254
signal WR_COUNT     : WR_COUNT_ARRAY;
255
signal WR_PORT      : std_logic_vector(1 downto 0);
256
 
257
signal RD_CLK       : std_logic;
258
signal RD_CMD_EN    : std_logic_vector(2 downto 0);
259
type RD_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
260
signal RD_CMD_ADDR  : WR_CMD_ADDR_ARRAY;
261
signal RD_ADDR      : std_logic_vector(18 downto 0); -- in 256 bytes burst blocks
262
signal RD_EN        : std_logic_vector(2 downto 0);
263
type RD_DATA_ARRAY is array(2 downto 0) of std_logic_vector(31 downto 0);
264
signal RD_DATA      : RD_DATA_ARRAY;
265
signal RD_EMPTY     : std_logic_vector(2 downto 0);
266
signal RD_OVERFLOW  : std_logic_vector(2 downto 0);
267
signal RD_ERROR     : std_logic_vector(2 downto 0);
268
signal RD_PORT      : std_logic_vector(1 downto 0);
269
type RD_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
270
signal RD_COUNT     : RD_COUNT_ARRAY;
271
 
272
signal FD_TMP        : std_logic_vector(15 downto 0);
273
 
274
signal RD_ADDR2      : std_logic_vector(18 downto 0);   -- 256 bytes burst block currently beeing read
275
signal RD_ADDR2_BAK1 : std_logic_vector(18 downto 0);   -- backup for synchronization
276
signal RD_ADDR2_BAK2 : std_logic_vector(18 downto 0);   -- backup for synchronization
277
signal WR_ADDR2      : std_logic_vector(18 downto 0);   -- 256 bytes burst block currently beeing written
278
signal WR_ADDR2_BAK1 : std_logic_vector(18 downto 0);   -- backup for synchronization
279
signal WR_ADDR2_BAK2 : std_logic_vector(18 downto 0);   -- backup for synchronization
280
 
281
signal RD_STOP       : std_logic;
282
 
283
begin
284
 
285
    clkin_buf : IBUFG
286
    port map (
287
        O => FXCLK_BUF,
288
        I => FXCLK
289
     );
290
 
291
    dcm0 : DCM_CLKGEN
292
    generic map (
293
        CLKFX_DIVIDE    => 3,
294
--        CLKFX_MULTIPLY  => 33,
295
        CLKFX_MULTIPLY  => 25,
296
        CLKFXDV_DIVIDE  => 8,
297
        SPREAD_SPECTRUM => "NONE",
298
        STARTUP_WAIT    => FALSE,
299
        CLKIN_PERIOD    => 20.83333,
300
        CLKFX_MD_MAX    => 0.000
301
     )
302
     port map (
303
        CLKIN     => FXCLK_BUF,
304
        CLKFX     => MEM_CLK,
305
        CLKFX180  => open,
306
        CLKFXDV   => CLK,
307
        LOCKED    => DCM0_LOCKED,
308
        PROGDONE  => open,
309
        STATUS    => open,
310
        FREEZEDCM => '0',
311
        PROGCLK   => '0',
312
        PROGDATA  => '0',
313
        PROGEN    => '0',
314
        RST       => '0'
315
     );
316
 
317
    inst_mem0 : mem0 port map (
318
        mcb3_dram_dq    =>  mcb3_dram_dq,
319
        mcb3_dram_a     =>  mcb3_dram_a,
320
        mcb3_dram_ba    =>  mcb3_dram_ba,
321
        mcb3_dram_ras_n =>  mcb3_dram_ras_n,
322
        mcb3_dram_cas_n =>  mcb3_dram_cas_n,
323
        mcb3_dram_we_n  =>  mcb3_dram_we_n,
324
--        mcb3_dram_odt   =>  mcb3_dram_odt,                          
325
        mcb3_dram_cke   =>  mcb3_dram_cke,
326
        mcb3_dram_ck    =>  mcb3_dram_ck,
327
        mcb3_dram_ck_n  =>  mcb3_dram_ck_n,
328
        mcb3_dram_dqs   =>  mcb3_dram_dqs,
329
        mcb3_dram_dqs_n =>  mcb3_dram_dqs_n,
330
        mcb3_dram_udqs  =>  mcb3_dram_udqs,    -- for X16 parts           
331
        mcb3_dram_udqs_n=>  mcb3_dram_udqs_n,  -- for X16 parts           
332
        mcb3_dram_udm   =>  mcb3_dram_udm,     -- for X16 parts
333
        mcb3_dram_dm    =>  mcb3_dram_dm,
334
        mcb3_rzq        =>  mcb3_rzq,
335
        mcb3_zio        =>  mcb3_zio,
336
 
337
        c3_sys_clk      =>  MEM_CLK,
338
        c3_sys_rst_n    =>  RESET0,
339
 
340
        c3_clk0         =>  open,
341
        c3_rst0         =>  C3_RST0,
342
        c3_calib_done   =>  C3_CALIB_DONE,
343
 
344
        c3_p0_cmd_clk        =>  WR_CLK,
345
        c3_p0_cmd_en         =>  WR_CMD_EN(0),
346
        c3_p0_cmd_instr      =>  "000",
347
        c3_p0_cmd_bl         =>  ( others => '1' ),
348
        c3_p0_cmd_byte_addr  =>  WR_CMD_ADDR(0),
349
        c3_p0_cmd_empty      =>  open,
350
        c3_p0_cmd_full       =>  open,
351
        c3_p0_wr_clk         =>  WR_CLK,
352
        c3_p0_wr_en          =>  WR_EN(0),
353
        c3_p0_wr_mask        =>  ( others => '0' ),
354
        c3_p0_wr_data        =>  WR_DATA,
355
        c3_p0_wr_full        =>  open,
356
        c3_p0_wr_empty       =>  WR_EMPTY(0),
357
        c3_p0_wr_count       =>  open,
358
        c3_p0_wr_underrun    =>  WR_UNDERRUN(0),
359
        c3_p0_wr_error       =>  WR_ERROR(0),
360
        c3_p0_rd_clk         =>  WR_CLK,
361
        c3_p0_rd_en          =>  '0',
362
        c3_p0_rd_data        =>  open,
363
        c3_p0_rd_full        =>  open,
364
        c3_p0_rd_empty       =>  open,
365
        c3_p0_rd_count       =>  open,
366
        c3_p0_rd_overflow    =>  open,
367
        c3_p0_rd_error       =>  open,
368
 
369
        c3_p2_cmd_clk        =>  WR_CLK,
370
        c3_p2_cmd_en         =>  WR_CMD_EN(1),
371
        c3_p2_cmd_instr      =>  "000",
372
        c3_p2_cmd_bl         =>  ( others => '1' ),
373
        c3_p2_cmd_byte_addr  =>  WR_CMD_ADDR(1),
374
        c3_p2_cmd_empty      =>  open,
375
        c3_p2_cmd_full       =>  open,
376
        c3_p2_wr_clk         =>  WR_CLK,
377
        c3_p2_wr_en          =>  WR_EN(1),
378
        c3_p2_wr_mask        =>  ( others => '0' ),
379
        c3_p2_wr_data        =>  WR_DATA,
380
        c3_p2_wr_full        =>  open,
381
        c3_p2_wr_empty       =>  WR_EMPTY(1),
382
        c3_p2_wr_count       =>  open,
383
        c3_p2_wr_underrun    =>  WR_UNDERRUN(1),
384
        c3_p2_wr_error       =>  WR_ERROR(1),
385
 
386
        c3_p4_cmd_clk        =>  WR_CLK,
387
        c3_p4_cmd_en         =>  WR_CMD_EN(2),
388
        c3_p4_cmd_instr      =>  "000",
389
        c3_p4_cmd_bl         =>  ( others => '1' ),
390
        c3_p4_cmd_byte_addr  =>  WR_CMD_ADDR(2),
391
        c3_p4_cmd_empty      =>  open,
392
        c3_p4_cmd_full       =>  open,
393
        c3_p4_wr_clk         =>  WR_CLK,
394
        c3_p4_wr_en          =>  WR_EN(2),
395
        c3_p4_wr_mask        =>  ( others => '0' ),
396
        c3_p4_wr_data        =>  WR_DATA,
397
        c3_p4_wr_full        =>  open,
398
        c3_p4_wr_empty       =>  WR_EMPTY(2),
399
        c3_p4_wr_count       =>  open,
400
        c3_p4_wr_underrun    =>  WR_UNDERRUN(2),
401
        c3_p4_wr_error       =>  WR_ERROR(2),
402
 
403
        c3_p1_cmd_clk        =>  RD_CLK,
404
        c3_p1_cmd_en         =>  RD_CMD_EN(0),
405
        c3_p1_cmd_instr      =>  "001",
406
        c3_p1_cmd_bl         =>  ( others => '1' ),
407
        c3_p1_cmd_byte_addr  =>  RD_CMD_ADDR(0),
408
        c3_p1_cmd_empty      =>  open,
409
        c3_p1_cmd_full       =>  open,
410
        c3_p1_wr_clk         =>  RD_CLK,
411
        c3_p1_wr_en          =>  '0',
412
        c3_p1_wr_mask        =>  ( others => '0' ),
413
        c3_p1_wr_data        =>  ( others => '0' ),
414
        c3_p1_wr_full        =>  open,
415
        c3_p1_wr_empty       =>  open,
416
        c3_p1_wr_count       =>  open,
417
        c3_p1_wr_underrun    =>  open,
418
        c3_p1_wr_error       =>  open,
419
        c3_p1_rd_clk         =>  RD_CLK,
420
        c3_p1_rd_en          =>  RD_EN(0),
421
        c3_p1_rd_data        =>  RD_DATA(0),
422
        c3_p1_rd_full        =>  open,
423
        c3_p1_rd_empty       =>  RD_EMPTY(0),
424
        c3_p1_rd_count       =>  open,
425
        c3_p1_rd_overflow    =>  RD_OVERFLOW(0),
426
        c3_p1_rd_error       =>  RD_ERROR(0),
427
 
428
        c3_p3_cmd_clk        =>  RD_CLK,
429
        c3_p3_cmd_en         =>  RD_CMD_EN(1),
430
        c3_p3_cmd_instr      =>  "001",
431
        c3_p3_cmd_bl         =>  ( others => '1' ),
432
        c3_p3_cmd_byte_addr  =>  RD_CMD_ADDR(1),
433
        c3_p3_cmd_empty      =>  open,
434
        c3_p3_cmd_full       =>  open,
435
        c3_p3_rd_clk         =>  RD_CLK,
436
        c3_p3_rd_en          =>  RD_EN(1),
437
        c3_p3_rd_data        =>  RD_DATA(1),
438
        c3_p3_rd_full        =>  open,
439
        c3_p3_rd_empty       =>  RD_EMPTY(1),
440
        c3_p3_rd_count       =>  open,
441
        c3_p3_rd_overflow    =>  RD_OVERFLOW(1),
442
        c3_p3_rd_error       =>  RD_ERROR(1),
443
 
444
        c3_p5_cmd_clk        =>  RD_CLK,
445
        c3_p5_cmd_en         =>  RD_CMD_EN(2),
446
        c3_p5_cmd_instr      =>  "001",
447
        c3_p5_cmd_bl         =>  ( others => '1' ),
448
        c3_p5_cmd_byte_addr  =>  RD_CMD_ADDR(2),
449
        c3_p5_cmd_empty      =>  open,
450
        c3_p5_cmd_full       =>  open,
451
        c3_p5_rd_clk         =>  RD_CLK,
452
        c3_p5_rd_en          =>  RD_EN(2),
453
        c3_p5_rd_data        =>  RD_DATA(2),
454
        c3_p5_rd_full        =>  open,
455
        c3_p5_rd_empty       =>  RD_EMPTY(2),
456
        c3_p5_rd_count       =>  open,
457
        c3_p5_rd_overflow    =>  RD_OVERFLOW(2),
458
        c3_p5_rd_error       =>  RD_ERROR(2)
459
);
460
 
461
    SLOE <= '1';
462
    SLRD <= '1';
463
    FIFOADR0 <= '0';
464
    FIFOADR1 <= '0';
465
    PKTEND <= '1';
466
 
467
    WR_CLK <= CLK;
468
    RD_CLK <= IFCLK;
469
 
470
 
471
--    DCM0_CLK_VALID <= ( DCM0_LOCKED and ( not status_internal(2) ) );
472
--    RESET0 <= RESET_IN or (not DCM0_LOCKED) or (not DCM0_CLK_VALID);
473
    RESET0 <= RESET_IN or (not DCM0_LOCKED);
474
    RESET <= RESET0 or (not C3_CALIB_DONE) or C3_RST0;
475
 
476
 
477
    dpCLK: process (CLK, RESET)
478
    begin
479
-- reset
480
        if RESET = '1'
481
        then
482
            GEN_CNT <= ( others => '0' );
483
            GEN_PATTERN <= "100101010101010101010101010101";
484
 
485
            WR_CMD_EN      <= ( others => '0' );
486
            WR_CMD_ADDR(0) <= ( others => '0' );
487
            WR_CMD_ADDR(1) <= ( others => '0' );
488
            WR_CMD_ADDR(2) <= ( others => '0' );
489
            WR_ADDR        <= conv_std_logic_vector(3,19);
490
            WR_EN          <= ( others => '0' );
491
            WR_COUNT(0)    <= ( others => '0' );
492
            WR_COUNT(1)    <= ( others => '0' );
493
            WR_COUNT(2)    <= ( others => '0' );
494
            WR_PORT        <= ( others => '0' );
495
 
496
            WR_ADDR2       <= ( others => '0' );
497
            RD_ADDR2_BAK1  <= ( others => '0' );
498
            RD_ADDR2_BAK2  <= ( others => '0' );
499
 
500
-- CLK
501
        elsif CLK'event and CLK = '1'
502
        then
503
            WR_CMD_EN <= ( others => '0' );
504
            WR_EN <= ( others => '0' );
505
            WR_CMD_ADDR(conv_integer(WR_PORT))(26 downto 8) <= WR_ADDR;
506
 
507
            if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(64,7) )
508
                then
509
                -- FF flag = 1
510
                if ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
511
                then
512
                    WR_CMD_EN(conv_integer(WR_PORT)) <= '1';
513
                    WR_COUNT(conv_integer(WR_PORT)) <= ( others => '0' );
514
                    if WR_PORT = "10"
515
                    then
516
                        WR_PORT <= "00";
517
                    else
518
                        WR_PORT <= WR_PORT + 1;
519
                    end if;
520
                    WR_ADDR <= WR_ADDR + 1;
521
                    WR_ADDR2 <= WR_ADDR2 + 1;
522
                end if;
523
            elsif ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(0,7)) and (WR_EMPTY(conv_integer(WR_PORT)) = '0' )  -- write port fifo not empty 
524
            then
525
                -- FF flag = 1 
526
            else
527
                WR_EN(conv_integer(WR_PORT)) <= '1';
528
                WR_DATA(31) <= '1';
529
                WR_DATA(15) <= '0';
530
                if PC0 = '1'
531
                then
532
                    WR_DATA(30 downto 16) <= GEN_PATTERN(29 downto 15);
533
                    WR_DATA(14 downto 0) <= GEN_PATTERN(14 downto 0);
534
                else
535
                    WR_DATA(30 downto 16) <= GEN_CNT(29 downto 15);
536
                    WR_DATA(14 downto 0) <= GEN_CNT(14 downto 0);
537
                end if;
538
                GEN_CNT <= GEN_CNT + 1;
539
                GEN_PATTERN(29) <= GEN_PATTERN(0);
540
                GEN_PATTERN(28 downto 0) <= GEN_PATTERN(29 downto 1);
541
--              if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(63,7) ) and ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
542
--                Add code from above here. This saves one clock cylcle and is required for uninterrupred input.
543
--              then
544
--              else
545
                    WR_COUNT(conv_integer(WR_PORT)) <= WR_COUNT(conv_integer(WR_PORT)) + 1;
546
--              end if;
547
            end if;
548
 
549
            RD_ADDR2_BAK1 <= RD_ADDR2;
550
            RD_ADDR2_BAK2 <= RD_ADDR2_BAK1;
551
 
552
        end if;
553
    end process dpCLK;
554
 
555
 
556
    dpIFCLK: process (IFCLK, RESET)
557
    begin
558
-- reset
559
        if RESET = '1'
560
        then
561
            FIFO_WORD <= '0';
562
            SLWR <= '1';
563
 
564
            RD_CMD_EN      <= ( others => '0' );
565
            RD_CMD_ADDR(0) <= ( others => '0' );
566
            RD_CMD_ADDR(1) <= ( others => '0' );
567
            RD_CMD_ADDR(2) <= ( others => '0' );
568
            RD_ADDR        <= conv_std_logic_vector(3,19);
569
            RD_EN          <= ( others => '0' );
570
            RD_COUNT(0)    <= conv_std_logic_vector(64,7);
571
            RD_COUNT(1)    <= conv_std_logic_vector(64,7);
572
            RD_COUNT(2)    <= conv_std_logic_vector(64,7);
573
            RD_PORT        <= ( others => '0' );
574
 
575
            RD_ADDR2       <= ( others => '0' );
576
            WR_ADDR2_BAK1  <= ( others => '0' );
577
            WR_ADDR2_BAK2  <= ( others => '0' );
578
 
579
            RD_STOP        <= '1';
580
 
581
-- IFCLK
582
        elsif IFCLK'event and IFCLK = '1'
583
        then
584
 
585
            RD_CMD_EN <= ( others => '0' );
586
            RD_CMD_ADDR(conv_integer(RD_PORT))(26 downto 8) <= RD_ADDR;
587
            RD_EN(conv_integer(RD_PORT)) <= '0';
588
 
589
            if FLAGB = '1'
590
            then
591
                if ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) or ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) )
592
                then
593
                    SLWR <= '1';
594
                    if ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) ) and ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) and ( WR_ADDR2_BAK2 = WR_ADDR2_BAK1 ) and ( WR_ADDR2_BAK2 /= RD_ADDR ) and ( RD_STOP = '0' )
595
                    then
596
                        RD_CMD_EN(conv_integer(RD_PORT)) <= '1';
597
                        RD_COUNT(conv_integer(RD_PORT)) <= ( others => '0' );
598
                        if RD_PORT = "10"
599
                        then
600
                            RD_PORT <= "00";
601
                        else
602
                            RD_PORT <= RD_PORT + 1;
603
                        end if;
604
                        RD_ADDR <= RD_ADDR + 1;
605
                        RD_ADDR2 <= RD_ADDR2 + 1;
606
                    end if;
607
                else
608
                    SLWR <= '0';
609
                    if FIFO_WORD = '0'
610
                    then
611
                        FD(15 downto 0) <= RD_DATA(conv_integer(RD_PORT))(15 downto 0);
612
                        FD_TMP <= RD_DATA(conv_integer(RD_PORT))(31 downto 16);
613
                        RD_EN(conv_integer(RD_PORT)) <= '1';
614
                    else
615
                        FD(15 downto 0) <= FD_TMP;
616
                        RD_COUNT(conv_integer(RD_PORT)) <= RD_COUNT(conv_integer(RD_PORT)) + 1;
617
                    end if;
618
                    FIFO_WORD <= not FIFO_WORD;
619
                end if;
620
            end if;
621
 
622
            WR_ADDR2_BAK1 <= WR_ADDR2;
623
            WR_ADDR2_BAK2 <= WR_ADDR2_BAK1;
624
 
625
            if ( WR_ADDR2_BAK1 = WR_ADDR2_BAK2 ) and ( WR_ADDR2_BAK2(3) = '1')
626
            then
627
                RD_STOP <= '0';
628
            end if;
629
 
630
        end if;
631
    end process dpIFCLK;
632
 
633
end RTL;
634
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.