OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_13/usb_fpga_2_13/trunk

Subversion Repositories usb_fpga_2_13

[/] [usb_fpga_2_13/] [trunk/] [examples/] [usb-fpga-2.04/] [2.04b/] [ucecho/] [fpga/] [ucecho.ucf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
# CLKOUT/FXCLK
2
NET "clk" TNM_NET = "clk";
3
TIMESPEC "ts_clk" = PERIOD "clk" 48 MHz HIGH 50 %;
4
NET "clk"  LOC = "J16" | IOSTANDARD = LVCMOS33 ;
5
 
6
NET "PB<0>"        LOC = "D16" | IOSTANDARD = LVCMOS33 ;           # PB0/FD0
7
NET "PB<1>"        LOC = "F15" | IOSTANDARD = LVCMOS33 ;           # PB1/FD1
8
NET "PB<2>"        LOC = "E15" | IOSTANDARD = LVCMOS33 ;           # PB2/FD2
9
NET "PB<3>"        LOC = "D14" | IOSTANDARD = LVCMOS33 ;           # PB3/FD3
10
NET "PB<4>"        LOC = "F13" | IOSTANDARD = LVCMOS33 ;           # PB4/FD4
11
NET "PB<5>"        LOC = "E12" | IOSTANDARD = LVCMOS33 ;           # PB5/FD5
12
NET "PB<6>"        LOC = "F12" | IOSTANDARD = LVCMOS33 ;           # PB6/FD6
13
NET "PB<7>"        LOC = "G12" | IOSTANDARD = LVCMOS33 ;           # PB7/FD7
14
 
15
NET "PC<0>"        LOC = "P10" | IOSTANDARD = LVCMOS33 ;           # PC0/GPIFADR0
16
NET "PC<1>"        LOC = "N12" | IOSTANDARD = LVCMOS33 ;           # PC1/GPIFADR1
17
NET "PC<2>"        LOC = "P12" | IOSTANDARD = LVCMOS33 ;           # PC2/GPIFADR2
18
NET "PC<3>"        LOC = "N5" | IOSTANDARD = LVCMOS33 ;            # PC3/GPIFADR3
19
NET "PC<4>"        LOC = "P5" | IOSTANDARD = LVCMOS33 ;            # PC4/GPIFADR4
20
NET "PC<5>"        LOC = "L8" | IOSTANDARD = LVCMOS33 ;            # PC5/GPIFADR5
21
NET "PC<6>"        LOC = "L7" | IOSTANDARD = LVCMOS33 ;            # PC6/GPIFADR6
22
NET "PC<7>"        LOC = "R5" | IOSTANDARD = LVCMOS33 ;            # PC7/GPIFADR7

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.