OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_13/usb_fpga_2_13/trunk

Subversion Repositories usb_fpga_2_13

[/] [usb_fpga_2_13/] [trunk/] [examples/] [usb-fpga-2.16/] [2.16b/] [ucecho/] [fpga/] [ucecho.ucf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
NET "fxclk_in" TNM_NET = "fxclk_in";
2
TIMESPEC "ts_fxclk_in" = PERIOD "fxclk_in" 20 ns HIGH 50 %;
3
NET "fxclk_in"  LOC = "Y18" | IOSTANDARD = LVCMOS33 ;
4
 
5
NET "pb<0>"  LOC = "P20" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;
6
NET "pb<1>"  LOC = "N17" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;
7
NET "pb<2>"  LOC = "P21" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;
8
NET "pb<3>"  LOC = "R21" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;
9
NET "pb<4>"  LOC = "T21" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;
10
NET "pb<5>"  LOC = "U21" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;
11
NET "pb<6>"  LOC = "P19" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;
12
NET "pb<7>"  LOC = "R19" | IOSTANDARD = LVCMOS33 | DRIVE = 12 ;
13
 
14
NET "pd<0>"  LOC = "T20" | IOSTANDARD = LVCMOS33 ;
15
NET "pd<1>"  LOC = "U20" | IOSTANDARD = LVCMOS33 ;
16
NET "pd<2>"  LOC = "U18" | IOSTANDARD = LVCMOS33 ;
17
NET "pd<3>"  LOC = "U17" | IOSTANDARD = LVCMOS33 ;
18
NET "pd<4>"  LOC = "W19" | IOSTANDARD = LVCMOS33 ;
19
NET "pd<5>"  LOC = "W20" | IOSTANDARD = LVCMOS33 ;
20
NET "pd<6>"  LOC = "W21" | IOSTANDARD = LVCMOS33 ;
21
NET "pd<7>"  LOC = "W22" | IOSTANDARD = LVCMOS33 ;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.