OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_13/usb_fpga_2_13/trunk

Subversion Repositories usb_fpga_2_13

[/] [usb_fpga_2_13/] [trunk/] [examples/] [usb-fpga-2.16/] [2.16b/] [ucecho/] [fpga-vivado/] [ucecho.xdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
create_clock -name fxclk_in -period 20 [get_ports fxclk_in]
2
set_property LOC Y19 [get_ports fxclk_in]
3
set_property IOSTANDARD LVCMOS33 [get_ports fxclk_in]
4
 
5
 
6
# output
7
set_property LOC P20 [get_ports pb[0]]          ;# PB0
8
set_property LOC N17 [get_ports pb[1]]          ;# PB1
9
set_property LOC P21 [get_ports pb[2]]          ;# PB2
10
set_property LOC R21 [get_ports pb[3]]          ;# PB3
11
set_property LOC T21 [get_ports pb[4]]          ;# PB4
12
set_property LOC U21 [get_ports pb[5]]          ;# PB5
13
set_property LOC P19 [get_ports pb[6]]          ;# PB6
14
set_property LOC R19 [get_ports pb[7]]          ;# PB7
15
 
16
set_property IOSTANDARD LVCMOS33 [get_ports pb[*]]
17
set_property DRIVE 12 [get_ports pb[*]]
18
 
19
 
20
 
21
# input
22
set_property LOC T20 [get_ports pd[0]]          ;# PD0
23
set_property LOC U20 [get_ports pd[1]]          ;# PD1
24
set_property LOC U18 [get_ports pd[2]]          ;# PD2
25
set_property LOC U17 [get_ports pd[3]]          ;# PD3
26
set_property LOC W19 [get_ports pd[4]]          ;# PD4
27
set_property LOC W20 [get_ports pd[5]]          ;# PD5
28
set_property LOC W21 [get_ports pd[6]]          ;# PD6
29
set_property LOC W22 [get_ports pd[7]]          ;# PD7
30
 
31
set_property IOSTANDARD LVCMOS33 [get_ports pd[*]]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.