OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [examples/] [memfifo/] [fpga-2.04b/] [ipcore_dir/] [mem0/] [example_design/] [par/] [set_ise_prop.tcl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
project new test.xise
2
 
3
project set "Device Family" "spartan6"
4
 
5
project set "Device" "xc6slx16"
6
 
7
project set "Package" "ftg256"
8
 
9
project set "Speed Grade" "-2"
10
 
11
project set "Synthesis Tool" "XST (VHDL/Verilog)"
12
 
13
project set "Simulator" "ISim (VHDL/Verilog)"
14
 
15
xfile add "../rtl/example_top.v"
16
xfile add "../rtl/infrastructure.v"
17
xfile add "../rtl/memc_tb_top.v"
18
xfile add "../rtl/memc_wrapper.v"
19
xfile add "../rtl/mcb_controller/iodrp_controller.v"
20
xfile add "../rtl/mcb_controller/iodrp_mcb_controller.v"
21
xfile add "../rtl/mcb_controller/mcb_raw_wrapper.v"
22
xfile add "../rtl/mcb_controller/mcb_soft_calibration.v"
23
xfile add "../rtl/mcb_controller/mcb_soft_calibration_top.v"
24
xfile add "../rtl/mcb_controller/mcb_ui_top.v"
25
xfile add "../rtl/traffic_gen/afifo.v"
26
xfile add "../rtl/traffic_gen/cmd_gen.v"
27
xfile add "../rtl/traffic_gen/cmd_prbs_gen.v"
28
xfile add "../rtl/traffic_gen/data_prbs_gen.v"
29
xfile add "../rtl/traffic_gen/init_mem_pattern_ctr.v"
30
xfile add "../rtl/traffic_gen/mcb_flow_control.v"
31
xfile add "../rtl/traffic_gen/mcb_traffic_gen.v"
32
xfile add "../rtl/traffic_gen/rd_data_gen.v"
33
xfile add "../rtl/traffic_gen/read_data_path.v"
34
xfile add "../rtl/traffic_gen/read_posted_fifo.v"
35
xfile add "../rtl/traffic_gen/sp6_data_gen.v"
36
xfile add "../rtl/traffic_gen/tg_status.v"
37
xfile add "../rtl/traffic_gen/v6_data_gen.v"
38
xfile add "../rtl/traffic_gen/wr_data_gen.v"
39
xfile add "../rtl/traffic_gen/write_data_path.v"
40
 
41
xfile add "example_top.ucf"
42
 
43
 
44
project set "FSM Encoding Algorithm" "Auto" -process "Synthesize - XST"
45
project set "Safe Implementation" "No" -process "Synthesize - XST"
46
project set "FSM Style" "LUT" -process "Synthesize - XST"
47
project set "RAM Extraction" "True" -process "Synthesize - XST"
48
project set "RAM Style" "Auto" -process "Synthesize - XST"
49
project set "ROM Extraction" "True" -process "Synthesize - XST"
50
project set "ROM Style" "Auto" -process "Synthesize - XST"
51
project set "Resource Sharing" "True" -process "Synthesize - XST"
52
project set "Asynchronous To Synchronous" "False" -process "Synthesize - XST"
53
project set "Register Balancing" "No" -process "Synthesize - XST"
54
project set "Add I/O Buffers" "True" -process "Synthesize - XST"
55
project set "Max Fanout" "500" -process "Synthesize - XST"
56
project set "Number of Clock Buffers" "8" -process "Synthesize - XST"
57
project set "Register Duplication" "True" -process "Synthesize - XST"
58
project set "Optimize Instantiated Primitives" "False" -process "Synthesize - XST"
59
project set "Use Clock Enable" "Yes" -process "Synthesize - XST"
60
project set "Use Synchronous Set" "Yes" -process "Synthesize - XST"
61
project set "Use Synchronous Reset" "Yes" -process "Synthesize - XST"
62
project set "Pack I/O Registers into IOBs" "Auto" -process "Synthesize - XST"
63
project set "Equivalent Register Removal" "True" -process "Synthesize - XST"
64
project set "Optimization Goal" "Speed" -process "Synthesize - XST"
65
project set "Optimization Effort" "Normal" -process "Synthesize - XST"
66
project set "Library Search Order" "../synth/example_top.lso" -process "Synthesize - XST"
67
project set "Keep Hierarchy" "Soft" -process "Synthesize - XST"
68
project set "Netlist Hierarchy" "As Optimized" -process "Synthesize - XST"
69
project set "Generate RTL Schematic" "Yes" -process "Synthesize - XST"
70
project set "Global Optimization Goal" "AllClockNets" -process "Synthesize - XST"
71
project set "Read Cores" "True" -process "Synthesize - XST"
72
project set "Write Timing Constraints" "False" -process "Synthesize - XST"
73
project set "Cross Clock Analysis" "False" -process "Synthesize - XST"
74
project set "Hierarchy Separator" "/" -process "Synthesize - XST"
75
project set "Bus Delimiter" "<>" -process "Synthesize - XST"
76
project set "Case" "Maintain" -process "Synthesize - XST"
77
project set "BRAM Utilization Ratio" "100" -process "Synthesize - XST"
78
project set "Automatic BRAM Packing" "False" -process "Synthesize - XST"
79
project set "Pack I/O Registers/Latches into IOBs" "Off" -process Map
80
 
81
project set "Place & Route Effort Level (Overall)" "Standard" -process "Place & Route"
82
 
83
project set "Number of Paths in Error/Verbose Report" "100" -process "Generate Post-Map Static Timing"
84
 
85
project set "Enable Debugging of Serial Mode BitStream" "False" -process "Generate Programming File"
86
project set "Create Binary Configuration File" "False" -process "Generate Programming File"
87
project set "Enable Cyclic Redundancy Checking (CRC)" "True" -process "Generate Programming File"
88
project set "Configuration Rate" "6" -process "Generate Programming File"
89
project set "Configuration Pin Program" "Pull Up" -process "Generate Programming File"
90
project set "Configuration Pin Done" "Pull Up" -process "Generate Programming File"
91
project set "JTAG Pin TCK" "Pull Up" -process "Generate Programming File"
92
project set "JTAG Pin TDI" "Pull Up" -process "Generate Programming File"
93
project set "JTAG Pin TDO" "Pull Up" -process "Generate Programming File"
94
project set "JTAG Pin TMS" "Pull Up" -process "Generate Programming File"
95
project set "Unused IOB Pins" "Float" -process "Generate Programming File"
96
project set "UserID Code (8 Digit Hexadecimal)" "0xFFFFFFFF" -process "Generate Programming File"
97
project set "FPGA Start-Up Clock" "CCLK" -process "Generate Programming File"
98
project set "Done (Output Events)" "Default (4)" -process "Generate Programming File"
99
project set "Enable Outputs (Output Events)" "Default (5)" -process "Generate Programming File"
100
project set "Release Write Enable (Output Events)" "Default (6)" -process "Generate Programming File"
101
project set "Enable Internal Done Pipe" "False" -process "Generate Programming File"
102
project set "Drive Done Pin High" "False" -process "Generate Programming File"
103
project set "Security" "Enable Readback and Reconfiguration" -process "Generate Programming File"
104
 
105
project close
106
 
107
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.