OpenCores
URL https://opencores.org/ocsvn/usb_ft232h_avalon-mm_interface/usb_ft232h_avalon-mm_interface/trunk

Subversion Repositories usb_ft232h_avalon-mm_interface

[/] [usb_ft232h_avalon-mm_interface/] [trunk/] [testbench/] [altera_project/] [test_usb_ft232h/] [test_usb_ft232h.qsf] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 melman701
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2016 Altera Corporation. All rights reserved.
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, the Altera Quartus Prime License Agreement,
11
# the Altera MegaCore Function License Agreement, or other
12
# applicable license agreement, including, without limitation,
13
# that your use is for the sole purpose of programming logic
14
# devices manufactured by Altera and sold by Altera or its
15
# authorized distributors.  Please refer to the applicable
16
# agreement for further details.
17
#
18
# -------------------------------------------------------------------------- #
19
#
20
# Quartus Prime
21
# Version 16.0.2 Build 222 07/20/2016 SJ Lite Edition
22
# Date created = 15:14:22  March 14, 2017
23
#
24
# -------------------------------------------------------------------------- #
25
#
26
# Notes:
27
#
28
# 1) The default values for assignments are stored in the file:
29
#               test_usb_ft232h_assignment_defaults.qdf
30
#    If this file doesn't exist, see file:
31
#               assignment_defaults.qdf
32
#
33
# 2) Altera recommends that you do not modify this file. This
34
#    file is updated automatically by the Quartus Prime software
35
#    and any changes you make may be lost or overwritten.
36
#
37
# -------------------------------------------------------------------------- #
38
 
39
 
40
set_global_assignment -name FAMILY "Cyclone IV E"
41
set_global_assignment -name DEVICE EP4CE22E22C8
42
set_global_assignment -name TOP_LEVEL_ENTITY test_usb_ft232h
43
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.0.2
44
set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:14:22  MARCH 14, 2017"
45
set_global_assignment -name LAST_QUARTUS_VERSION "16.1.2 Lite Edition"
46
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
47
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
48
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
49
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
50
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
51
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
52
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
53
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
54
set_location_assignment PIN_114 -to USB_DATA[0]
55
set_location_assignment PIN_115 -to USB_DATA[1]
56
set_location_assignment PIN_120 -to USB_DATA[2]
57
set_location_assignment PIN_121 -to USB_DATA[3]
58
set_location_assignment PIN_132 -to USB_DATA[4]
59
set_location_assignment PIN_133 -to USB_DATA[5]
60
set_location_assignment PIN_135 -to USB_DATA[6]
61
set_location_assignment PIN_137 -to USB_DATA[7]
62
set_location_assignment PIN_49 -to DRAM_DQ[0]
63
set_location_assignment PIN_50 -to DRAM_DQ[1]
64
set_location_assignment PIN_51 -to DRAM_DQ[2]
65
set_location_assignment PIN_58 -to DRAM_DQ[3]
66
set_location_assignment PIN_59 -to DRAM_DQ[4]
67
set_location_assignment PIN_60 -to DRAM_DQ[5]
68
set_location_assignment PIN_66 -to DRAM_DQ[6]
69
set_location_assignment PIN_67 -to DRAM_DQ[7]
70
set_location_assignment PIN_126 -to USB_CLK
71
set_location_assignment PIN_136 -to USB_OE_N
72
set_location_assignment PIN_127 -to USB_RXF_N
73
set_location_assignment PIN_128 -to USB_TXE_N
74
set_location_assignment PIN_125 -to USB_RD_N
75
set_location_assignment PIN_141 -to USB_WR_N
76
set_location_assignment PIN_119 -to USB_SIWU_N
77
set_location_assignment PIN_129 -to USB_PWREN_N
78
set_location_assignment PIN_52 -to CLOCK_IN
79
set_location_assignment PIN_68 -to DRAM_ADDR[1]
80
set_location_assignment PIN_69 -to DRAM_ADDR[2]
81
set_location_assignment PIN_71 -to DRAM_ADDR[3]
82
set_location_assignment PIN_72 -to DRAM_ADDR[4]
83
set_location_assignment PIN_76 -to DRAM_ADDR[5]
84
set_location_assignment PIN_77 -to DRAM_ADDR[6]
85
set_location_assignment PIN_80 -to DRAM_ADDR[7]
86
set_location_assignment PIN_83 -to DRAM_ADDR[8]
87
set_location_assignment PIN_85 -to DRAM_ADDR[9]
88
set_location_assignment PIN_86 -to DRAM_ADDR[10]
89
set_location_assignment PIN_87 -to DRAM_ADDR[11]
90
set_location_assignment PIN_65 -to DRAM_ADDR[0]
91
set_location_assignment PIN_42 -to DRAM_CKE
92
set_location_assignment PIN_43 -to DRAM_CLK
93
set_location_assignment PIN_44 -to DRAM_CS_N
94
set_location_assignment PIN_64 -to DRAM_RAS_N
95
set_location_assignment PIN_46 -to DRAM_DQM
96
set_location_assignment PIN_31 -to DRAM_WE_N
97
set_location_assignment PIN_39 -to DRAM_CAS_N
98
set_location_assignment PIN_32 -to DRAM_BA[0]
99
set_location_assignment PIN_33 -to DRAM_BA[1]
100
set_location_assignment PIN_53 -to RESET_N
101
set_location_assignment PIN_100 -to DAC_SPI_CS_N[1]
102
set_location_assignment PIN_106 -to DAC_SPI_CS_N[2]
103
set_location_assignment PIN_105 -to DAC_SPI_CS_N[3]
104
set_location_assignment PIN_104 -to DAC_SPI_CS_N[4]
105
set_location_assignment PIN_103 -to DAC_SPI_CS_N[5]
106
set_location_assignment PIN_98 -to DAC_SPI_MOSI
107
set_location_assignment PIN_99 -to DAC_SPI_CS_N[0]
108
set_location_assignment PIN_91 -to MCU_MISO
109
set_location_assignment PIN_111 -to MCU_MOSI
110
set_location_assignment PIN_112 -to MCU_SCLK
111
set_location_assignment PIN_110 -to MCU_SS_N
112
set_location_assignment PIN_28 -to ADC_SDI
113
set_location_assignment PIN_25 -to ADC_SDO
114
set_location_assignment PIN_24 -to ADC_SDOFS
115
set_location_assignment PIN_23 -to ADC_SCLK
116
set_location_assignment PIN_113 -to MCU_CLOCK
117
set_location_assignment PIN_144 -to FLASH_SCLK
118
set_location_assignment PIN_143 -to FLASH_MOSI
119
set_location_assignment PIN_142 -to FLASH_MISO
120
set_location_assignment PIN_7 -to FLASH_CS_N
121
set_location_assignment PIN_10 -to STATUS_LED
122
set_location_assignment PIN_54 -to AD_DATA[0]
123
set_location_assignment PIN_55 -to AD_DATA[1]
124
set_location_assignment PIN_88 -to AD_DATA[2]
125
set_location_assignment PIN_89 -to AD_DATA[3]
126
set_location_assignment PIN_90 -to AD_DATA[4]
127
set_location_assignment PIN_11 -to AD_DATA[5]
128
set_location_assignment PIN_101 -to AD_CS_N
129
set_location_assignment PIN_30 -to AD_SCK
130
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
131
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
132
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
133
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
134
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
135
set_instance_assignment -name FAST_INPUT_REGISTER ON -to USB_DATA -disable
136
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to USB_DATA -disable
137
set_instance_assignment -name FAST_INPUT_REGISTER ON -to USB_RXF_N -disable
138
set_instance_assignment -name FAST_INPUT_REGISTER ON -to USB_TXE_N -disable
139
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to USB_OE_N -disable
140
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to USB_RD_N -disable
141
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to USB_WR_N -disable
142
set_instance_assignment -name GLOBAL_SIGNAL GLOBAL_CLOCK -to USB_CLK
143
set_instance_assignment -name GLOBAL_SIGNAL GLOBAL_CLOCK -to "pll2:inst1|c1"
144
set_instance_assignment -name GLOBAL_SIGNAL GLOBAL_CLOCK -to "pll2:inst1|c0"
145
set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005
146
set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF
147
set_global_assignment -name ENABLE_SIGNALTAP OFF
148
set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp
149
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON
150
set_global_assignment -name QSYS_FILE sopc.qsys
151
set_global_assignment -name SYSTEMVERILOG_FILE usb_fifos_avalon_mm_interface.sv
152
set_global_assignment -name SYSTEMVERILOG_FILE ft232h_transmitter.sv
153
set_global_assignment -name SYSTEMVERILOG_FILE ft232h_receiver.sv
154
set_global_assignment -name SYSTEMVERILOG_FILE pipeline.sv
155
set_global_assignment -name SYSTEMVERILOG_FILE ft232h_fifos_interface.sv
156
set_global_assignment -name SIGNALTAP_FILE stp1.stp
157
set_global_assignment -name SYSTEMVERILOG_FILE usb_ft232h.sv
158
set_global_assignment -name SDC_FILE test_usb_ft232h.out.sdc
159
set_global_assignment -name BDF_FILE test_usb_ft232h.bdf
160
set_global_assignment -name QIP_FILE pll1.qip
161
set_global_assignment -name QIP_FILE pll2.qip
162
set_global_assignment -name CDF_FILE test_usb_ft232h.cdf
163
set_global_assignment -name QIP_FILE pll_stp.qip
164
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.