OpenCores
URL https://opencores.org/ocsvn/vhld_tb/vhld_tb/trunk

Subversion Repositories vhld_tb

[/] [vhld_tb/] [trunk/] [examples/] [packet_gen/] [vhdl/] [packet_gen_ttb_str.vhd] - Blame information for rev 19

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 sckoarn
-------------------------------------------------------------------------------
2
--             Copyright -----------------------------------
3
--                        All Rights Reserved
4
-------------------------------------------------------------------------------
5
-- $Author:  $
6
--
7
-- $date:  $
8
--
9
-- $Id:  $
10
--
11
-- $Source:  $
12
--
13
-- Description :
14
--          This file was generated by TTB Gen Plus Beta 2.0
15
--            on 01 May 2011 21:23:14
16
------------------------------------------------------------------------------
17
-- This software contains concepts confidential to ----------------
18
-- ---------. and is only made available within the terms of a written
19
-- agreement.
20
-------------------------------------------------------------------------------
21
-- Revision History:
22
-- $Log:  $
23
--
24
-------------------------------------------------------------------------------
25
 
26
 
27
architecture struct of packet_gen_ttb is
28
 
29
component packet_gen
30
  port (
31
        packet_out : out     pack_out;
32
        request    : in      std_logic;
33
        fname      : in      stm_text;
34
        stm_in     : in      stm_sctl;
35
        stm_out    : out     stm_sack
36
       );
37
end component;
38
 
39
component packet_gen_tb
40
  generic (
41
           stimulus_file: in string
42
          );
43
  port (
44
        packet_out : in      pack_out;
45
        request    : buffer  std_logic;
46
        fname      : buffer  stm_text;
47
        stm_in     : buffer  stm_sctl;
48
        stm_out    : in      stm_sack
49
       );
50
end component;
51
 
52
--for all: packet_gen    use entity dut_lib.packet_gen(str);
53
for all: packet_gen_tb    use entity work.packet_gen_tb(bhv);
54
 
55
  signal temp_packet_out : pack_out;
56
  signal temp_request    : std_logic;
57
  signal temp_file_name  : stm_text;
58
  signal temp_stm_in     : stm_sctl;
59
  signal temp_stm_out    : stm_sack;
60
 
61
begin
62
 
63
dut: packet_gen
64
  port map(
65
           packet_out =>  temp_packet_out,
66
           request    =>  temp_request,
67
           fname      =>  temp_file_name,
68
           stm_in     =>  temp_stm_in,
69
           stm_out    =>  temp_stm_out
70
          );
71
 
72
tb: packet_gen_tb
73
  generic map(
74
               stimulus_file => stimulus_file
75
             )
76
  port map(
77
           packet_out =>  temp_packet_out,
78
           request    =>  temp_request,
79
           fname      =>  temp_file_name,
80
           stm_in     =>  temp_stm_in,
81
           stm_out    =>  temp_stm_out
82
          );
83
 
84
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.