OpenCores
URL https://opencores.org/ocsvn/wb_lcd/wb_lcd/trunk

Subversion Repositories wb_lcd

[/] [wb_lcd/] [trunk/] [myhdl/] [wb_lcd_workspace/] [workspace/] [lcd_display/] [src/] [tb_lcd.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jvillar
module tb_lcd;
2
 
3
reg clk;
4
reg reset;
5
reg [31:0] dat;
6
reg [6:0] addr;
7
reg we;
8
reg repaint;
9
wire busy;
10
wire [3:0] SF_D;
11
wire LCD_E;
12
wire LCD_RS;
13
wire LCD_RW;
14
 
15
initial begin
16
    $from_myhdl(
17
        clk,
18
        reset,
19
        dat,
20
        addr,
21
        we,
22
        repaint
23
    );
24
    $to_myhdl(
25
        busy,
26
        SF_D,
27
        LCD_E,
28
        LCD_RS,
29
        LCD_RW
30
    );
31
end
32
 
33
lcd dut(
34
    clk,
35
    reset,
36
    dat,
37
    addr,
38
    we,
39
    repaint,
40
    busy,
41
    SF_D,
42
    LCD_E,
43
    LCD_RS,
44
    LCD_RW
45
);
46
 
47
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.