OpenCores
URL https://opencores.org/ocsvn/wb_lcd/wb_lcd/trunk

Subversion Repositories wb_lcd

[/] [wb_lcd/] [trunk/] [verilog/] [wb_lcd/] [boards/] [s3esk-wb_lcd/] [rtl/] [system.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jvillar
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  system.v                                                    ////
4
////                                                              ////
5
////  This file is part of:                                       ////
6
////  WISHBONE/MEM MAPPED CONTROLLER FOR LCD CHARACTER DISPLAYS   ////
7
////  http://www.opencores.org/projects/wb_lcd/                   ////
8
////                                                              ////
9
////  Description                                                 ////
10
////   - Wishbone controller testbench implementation for         ////
11
////     Spartan 3E Starter Kit (XC3S500E) board from Digilent.   ////
12
////  To Do:                                                      ////
13
////   - nothing really                                           ////
14
////                                                              ////
15
////  Author(s):                                                  ////
16
////   - José Ignacio Villar, jose@dte.us.es , jvillar@gmail.com  ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2009 José Ignacio Villar - jvillar@gmail.com   ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 3 of the License, or (at your option) any     ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.gnu.org/licenses/lgpl.txt                    ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
 
45
`include "lcd_defines.v"
46
 
47
module system(
48
        input clk,
49
        input reset,
50
 
51
        input  [2:0] rot,
52
 
53
        output [3:0] SF_D,
54
        output LCD_E,
55
        output LCD_RS,
56
        output LCD_RW,
57
        output SF_CE0,
58
 
59
        output reg [7:0] led
60
        );
61
 
62
//----------------------------------------------------------------------------
63
// rotary decoder
64
//----------------------------------------------------------------------------
65
wire rot_btn;
66
wire rot_event;
67
wire rot_left;
68
 
69
rotary rotdec0 (
70
        .clk(       clk        ),
71
        .reset(     reset      ),
72
        .rot(       rot        ),
73
        // output
74
        .rot_btn(   rot_btn    ),
75
        .rot_event( rot_event  ),
76
        .rot_left(  rot_left   )
77
);
78
 
79
//----------------------------------------------------------------------------
80
// LCD Display
81
//----------------------------------------------------------------------------
82
 
83
reg     [`DAT_RNG]      dat = 8'b00100000;
84
wire    [`WB_DAT_RNG]   wb_dat = {24'b0, dat};
85
reg     [`ADDR_WIDTH:0]  addr = 0;
86
wire    [`WB_ADDR_RNG]  wb_addr = {24'b0, addr};
87
wire    [`WB_DAT_RNG]   status;
88
wire                    busy = status[0];
89
reg cs = 0;
90
reg we = 0;
91
wire ack;
92
wb_lcd lcd  (
93
        //
94
        // I/O Ports
95
        //
96
        .wb_clk_i       ( clk ),
97
        .wb_rst_i       ( reset),
98
 
99
        //
100
        // WB slave interface
101
        //
102
        .wb_dat_i       ( wb_dat ),
103
        .wb_dat_o       ( status ),
104
        .wb_adr_i       ( wb_addr ),
105
        .wb_sel_i       (  ),
106
        .wb_we_i        ( we ),
107
        .wb_cyc_i       ( cs  ),
108
        .wb_stb_i       ( cs ),
109
        .wb_ack_o       ( ack ),
110
        .wb_err_o       (  ),
111
 
112
        //
113
        // LCD interface
114
        //
115
        .SF_D   ( SF_D ),
116
        .LCD_E  ( LCD_E ),
117
        .LCD_RS ( LCD_RS ),
118
        .LCD_RW ( LCD_RW )
119
        );
120
 
121
//----------------------------------------------------------------------------
122
// Behavioural description
123
//----------------------------------------------------------------------------
124
assign SF_CE0 = 1'b1; // disable intel strataflash
125
 
126
// Handles "start displaying character" shift
127
reg [`DAT_RNG]  start_dat = 8'b00100000;
128
 
129
reg wait_for_ack = 0;
130
wire stall;
131
assign stall = (wait_for_ack & ~ack);
132
 
133
// Handles transfers to the display
134
integer i = 0;
135
always @(posedge clk)
136
begin
137
        if(reset) begin
138
                i <= 0;
139
                cs <= 1;
140
                we <= 1;
141
                addr <= 0;
142
                dat <= start_dat;
143
 
144
                led <= 8'b00100000;
145
                start_dat <= 8'b00100000;
146
        end else if(~stall) begin
147
                if (i < 104) begin
148
                        i <= i + 1;
149
                        cs <= 1;
150
                        we <= 1'b1;
151
                        addr <= addr + 1;
152
                        dat <= dat + 1;
153
                        wait_for_ack <= 1;
154
                end else if (i == 104) begin
155
                        if(!busy) begin
156
                                i <= i + 1;
157
                                cs <= 1;
158
                                addr <= 8'b10000000; // Command register
159
                                dat <=  8'b00000001; // Repaint command
160
                                we <= 1'b1;
161
                                wait_for_ack <= 1;
162
                        end else begin
163
                                cs <= 0;
164
                                wait_for_ack <= 0;
165
                        end
166
 
167
                end else if (i == 105) begin
168
                        i <= i + 1;
169
                        cs <= 0;
170
                        we <= 1'b0;
171
                        wait_for_ack <= 0;
172
                end else if (rot_event && rot_left && !busy) begin
173
                        i <= 0;
174
                        led <= led - 1;
175
                        start_dat <= start_dat - 1;
176
 
177
                        cs <= 1;
178
                        addr <= 0;
179
                        we <= 1'b1;
180
                        dat <= start_dat - 1;
181
                        wait_for_ack <= 1;
182
                end else if (rot_event && !busy) begin
183
                        i <= 0;
184
                        led <= led + 1;
185
                        start_dat <= start_dat + 1;
186
 
187
                        cs <= 1;
188
                        addr <= 0;
189
                        we <= 1'b1;
190
                        dat <= start_dat + 1;
191
                        wait_for_ack <= 1;
192
                end
193
        end
194
end
195
 
196
 
197
//                1'b1
198
//                2'b01, 
199
//                4'b0011,
200
//                8'b00001111,
201
//               16'b0000000011111111,
202
//               32'b00000000000000001111111111111111
203
 
204
//      {reset, busy, cs, we, ack, wb_dat[7:0], status[7:0], i, {10,1'b0}}
205
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.