OpenCores
URL https://opencores.org/ocsvn/wiegand_ctl/wiegand_ctl/trunk

Subversion Repositories wiegand_ctl

[/] [wiegand_ctl/] [trunk/] [syn/] [altera/] [wiegand_tx/] [db/] [wiegand_tx_top.lpc.html] - Blame information for rev 17

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 jeaander
<TABLE>
2
<TR  bgcolor="#C0C0C0">
3
<TH>Hierarchy</TH>
4
<TH>Input</TH>
5
<TH>Constant Input</TH>
6
<TH>Unused Input</TH>
7
<TH>Floating Input</TH>
8
<TH>Output</TH>
9
<TH>Constant Output</TH>
10
<TH>Unused Output</TH>
11
<TH>Floating Output</TH>
12
<TH>Bidir</TH>
13
<TH>Constant Bidir</TH>
14
<TH>Unused Bidir</TH>
15
<TH>Input only Bidir</TH>
16
<TH>Output only Bidir</TH>
17
</TR>
18
<TR >
19
<TD >wb_interface</TD>
20
<TD >84</TD>
21
<TD >0</TD>
22
<TD >39</TD>
23
<TD >0</TD>
24
<TD >143</TD>
25
<TD >0</TD>
26
<TD >0</TD>
27
<TD >0</TD>
28
<TD >0</TD>
29
<TD >0</TD>
30
<TD >0</TD>
31
<TD >0</TD>
32
<TD >0</TD>
33
</TR>
34
<TR >
35
<TD >datafifowrite|custom_fifo_dp8|mem[2].mem_byte</TD>
36
<TD >12</TD>
37
<TD >0</TD>
38
<TD >0</TD>
39
<TD >0</TD>
40
<TD >8</TD>
41
<TD >0</TD>
42
<TD >0</TD>
43
<TD >0</TD>
44
<TD >0</TD>
45
<TD >0</TD>
46
<TD >0</TD>
47
<TD >0</TD>
48
<TD >0</TD>
49
</TR>
50
<TR >
51
<TD >datafifowrite|custom_fifo_dp8|mem[1].mem_byte</TD>
52
<TD >12</TD>
53
<TD >0</TD>
54
<TD >0</TD>
55
<TD >0</TD>
56
<TD >8</TD>
57
<TD >0</TD>
58
<TD >0</TD>
59
<TD >0</TD>
60
<TD >0</TD>
61
<TD >0</TD>
62
<TD >0</TD>
63
<TD >0</TD>
64
<TD >0</TD>
65
</TR>
66
<TR >
67
<TD >datafifowrite|custom_fifo_dp8|mem[0].mem_byte</TD>
68
<TD >12</TD>
69
<TD >0</TD>
70
<TD >0</TD>
71
<TD >0</TD>
72
<TD >8</TD>
73
<TD >0</TD>
74
<TD >0</TD>
75
<TD >0</TD>
76
<TD >0</TD>
77
<TD >0</TD>
78
<TD >0</TD>
79
<TD >0</TD>
80
<TD >0</TD>
81
</TR>
82
<TR >
83
<TD >datafifowrite|custom_fifo_dp8</TD>
84
<TD >13</TD>
85
<TD >0</TD>
86
<TD >0</TD>
87
<TD >0</TD>
88
<TD >10</TD>
89
<TD >0</TD>
90
<TD >0</TD>
91
<TD >0</TD>
92
<TD >0</TD>
93
<TD >0</TD>
94
<TD >0</TD>
95
<TD >0</TD>
96
<TD >0</TD>
97
</TR>
98
<TR >
99
<TD >datafifowrite|custom_fifo_dp7|mem[2].mem_byte</TD>
100
<TD >12</TD>
101
<TD >0</TD>
102
<TD >0</TD>
103
<TD >0</TD>
104
<TD >8</TD>
105
<TD >0</TD>
106
<TD >0</TD>
107
<TD >0</TD>
108
<TD >0</TD>
109
<TD >0</TD>
110
<TD >0</TD>
111
<TD >0</TD>
112
<TD >0</TD>
113
</TR>
114
<TR >
115
<TD >datafifowrite|custom_fifo_dp7|mem[1].mem_byte</TD>
116
<TD >12</TD>
117
<TD >0</TD>
118
<TD >0</TD>
119
<TD >0</TD>
120
<TD >8</TD>
121
<TD >0</TD>
122
<TD >0</TD>
123
<TD >0</TD>
124
<TD >0</TD>
125
<TD >0</TD>
126
<TD >0</TD>
127
<TD >0</TD>
128
<TD >0</TD>
129
</TR>
130
<TR >
131
<TD >datafifowrite|custom_fifo_dp7|mem[0].mem_byte</TD>
132
<TD >12</TD>
133
<TD >0</TD>
134
<TD >0</TD>
135
<TD >0</TD>
136
<TD >8</TD>
137
<TD >0</TD>
138
<TD >0</TD>
139
<TD >0</TD>
140
<TD >0</TD>
141
<TD >0</TD>
142
<TD >0</TD>
143
<TD >0</TD>
144
<TD >0</TD>
145
</TR>
146
<TR >
147
<TD >datafifowrite|custom_fifo_dp7</TD>
148
<TD >13</TD>
149
<TD >0</TD>
150
<TD >0</TD>
151
<TD >0</TD>
152
<TD >10</TD>
153
<TD >0</TD>
154
<TD >0</TD>
155
<TD >0</TD>
156
<TD >0</TD>
157
<TD >0</TD>
158
<TD >0</TD>
159
<TD >0</TD>
160
<TD >0</TD>
161
</TR>
162
<TR >
163
<TD >datafifowrite|custom_fifo_dp6|mem[2].mem_byte</TD>
164
<TD >12</TD>
165
<TD >0</TD>
166
<TD >0</TD>
167
<TD >0</TD>
168
<TD >8</TD>
169
<TD >0</TD>
170
<TD >0</TD>
171
<TD >0</TD>
172
<TD >0</TD>
173
<TD >0</TD>
174
<TD >0</TD>
175
<TD >0</TD>
176
<TD >0</TD>
177
</TR>
178
<TR >
179
<TD >datafifowrite|custom_fifo_dp6|mem[1].mem_byte</TD>
180
<TD >12</TD>
181
<TD >0</TD>
182
<TD >0</TD>
183
<TD >0</TD>
184
<TD >8</TD>
185
<TD >0</TD>
186
<TD >0</TD>
187
<TD >0</TD>
188
<TD >0</TD>
189
<TD >0</TD>
190
<TD >0</TD>
191
<TD >0</TD>
192
<TD >0</TD>
193
</TR>
194
<TR >
195
<TD >datafifowrite|custom_fifo_dp6|mem[0].mem_byte</TD>
196
<TD >12</TD>
197
<TD >0</TD>
198
<TD >0</TD>
199
<TD >0</TD>
200
<TD >8</TD>
201
<TD >0</TD>
202
<TD >0</TD>
203
<TD >0</TD>
204
<TD >0</TD>
205
<TD >0</TD>
206
<TD >0</TD>
207
<TD >0</TD>
208
<TD >0</TD>
209
</TR>
210
<TR >
211
<TD >datafifowrite|custom_fifo_dp6</TD>
212
<TD >13</TD>
213
<TD >0</TD>
214
<TD >0</TD>
215
<TD >0</TD>
216
<TD >10</TD>
217
<TD >0</TD>
218
<TD >0</TD>
219
<TD >0</TD>
220
<TD >0</TD>
221
<TD >0</TD>
222
<TD >0</TD>
223
<TD >0</TD>
224
<TD >0</TD>
225
</TR>
226
<TR >
227
<TD >datafifowrite|custom_fifo_dp5|mem[2].mem_byte</TD>
228
<TD >12</TD>
229
<TD >0</TD>
230
<TD >0</TD>
231
<TD >0</TD>
232
<TD >8</TD>
233
<TD >0</TD>
234
<TD >0</TD>
235
<TD >0</TD>
236
<TD >0</TD>
237
<TD >0</TD>
238
<TD >0</TD>
239
<TD >0</TD>
240
<TD >0</TD>
241
</TR>
242
<TR >
243
<TD >datafifowrite|custom_fifo_dp5|mem[1].mem_byte</TD>
244
<TD >12</TD>
245
<TD >0</TD>
246
<TD >0</TD>
247
<TD >0</TD>
248
<TD >8</TD>
249
<TD >0</TD>
250
<TD >0</TD>
251
<TD >0</TD>
252
<TD >0</TD>
253
<TD >0</TD>
254
<TD >0</TD>
255
<TD >0</TD>
256
<TD >0</TD>
257
</TR>
258
<TR >
259
<TD >datafifowrite|custom_fifo_dp5|mem[0].mem_byte</TD>
260
<TD >12</TD>
261
<TD >0</TD>
262
<TD >0</TD>
263
<TD >0</TD>
264
<TD >8</TD>
265
<TD >0</TD>
266
<TD >0</TD>
267
<TD >0</TD>
268
<TD >0</TD>
269
<TD >0</TD>
270
<TD >0</TD>
271
<TD >0</TD>
272
<TD >0</TD>
273
</TR>
274
<TR >
275
<TD >datafifowrite|custom_fifo_dp5</TD>
276
<TD >13</TD>
277
<TD >0</TD>
278
<TD >0</TD>
279
<TD >0</TD>
280
<TD >10</TD>
281
<TD >0</TD>
282
<TD >0</TD>
283
<TD >0</TD>
284
<TD >0</TD>
285
<TD >0</TD>
286
<TD >0</TD>
287
<TD >0</TD>
288
<TD >0</TD>
289
</TR>
290
<TR >
291
<TD >datafifowrite</TD>
292
<TD >37</TD>
293
<TD >0</TD>
294
<TD >0</TD>
295
<TD >0</TD>
296
<TD >34</TD>
297
<TD >0</TD>
298
<TD >0</TD>
299
<TD >0</TD>
300
<TD >0</TD>
301
<TD >0</TD>
302
<TD >0</TD>
303
<TD >0</TD>
304
<TD >0</TD>
305
</TR>
306
</TABLE>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.