OpenCores
URL https://opencores.org/ocsvn/xge_mac/xge_mac/trunk

Subversion Repositories xge_mac

[/] [xge_mac/] [trunk/] [rtl/] [verilog/] [xge_mac.v] - Blame information for rev 23

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 antanguay
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name "xge_mac.v"                                       ////
4
////                                                              ////
5
////  This file is part of the "10GE MAC" project                 ////
6
////  http://www.opencores.org/cores/xge_mac/                     ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - A. Tanguay (antanguay@opencores.org)                  ////
10
////                                                              ////
11
//////////////////////////////////////////////////////////////////////
12
////                                                              ////
13
//// Copyright (C) 2008 AUTHORS. All rights reserved.             ////
14
////                                                              ////
15
//// This source file may be used and distributed without         ////
16
//// restriction provided that this copyright statement is not    ////
17
//// removed from the file and that any derivative work contains  ////
18
//// the original copyright notice and the associated disclaimer. ////
19
////                                                              ////
20
//// This source file is free software; you can redistribute it   ////
21
//// and/or modify it under the terms of the GNU Lesser General   ////
22
//// Public License as published by the Free Software Foundation; ////
23
//// either version 2.1 of the License, or (at your option) any   ////
24
//// later version.                                               ////
25
////                                                              ////
26
//// This source is distributed in the hope that it will be       ////
27
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
28
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
29
//// PURPOSE.  See the GNU Lesser General Public License for more ////
30
//// details.                                                     ////
31
////                                                              ////
32
//// You should have received a copy of the GNU Lesser General    ////
33
//// Public License along with this source; if not, download it   ////
34
//// from http://www.opencores.org/lgpl.shtml                     ////
35
////                                                              ////
36
//////////////////////////////////////////////////////////////////////
37
 
38
 
39
`include "defines.v"
40
 
41
module xge_mac(/*AUTOARG*/
42
  // Outputs
43 12 antanguay
  xgmii_txd, xgmii_txc, wb_int_o, wb_dat_o, wb_ack_o, pkt_tx_full,
44
  pkt_rx_val, pkt_rx_sop, pkt_rx_mod, pkt_rx_err, pkt_rx_eop,
45
  pkt_rx_data, pkt_rx_avail,
46 2 antanguay
  // Inputs
47 12 antanguay
  xgmii_rxd, xgmii_rxc, wb_we_i, wb_stb_i, wb_rst_i, wb_dat_i,
48
  wb_cyc_i, wb_clk_i, wb_adr_i, reset_xgmii_tx_n, reset_xgmii_rx_n,
49
  reset_156m25_n, pkt_tx_val, pkt_tx_sop, pkt_tx_mod, pkt_tx_eop,
50 6 antanguay
  pkt_tx_data, pkt_rx_ren, clk_xgmii_tx, clk_xgmii_rx, clk_156m25
51 2 antanguay
  );
52
 
53
/*AUTOINPUT*/
54
// Beginning of automatic inputs (from unused autoinst inputs)
55
input                   clk_156m25;             // To rx_dq0 of rx_dequeue.v, ...
56
input                   clk_xgmii_rx;           // To rx_eq0 of rx_enqueue.v, ...
57
input                   clk_xgmii_tx;           // To tx_dq0 of tx_dequeue.v, ...
58
input                   pkt_rx_ren;             // To rx_dq0 of rx_dequeue.v
59
input [63:0]            pkt_tx_data;            // To tx_eq0 of tx_enqueue.v
60 6 antanguay
input                   pkt_tx_eop;             // To tx_eq0 of tx_enqueue.v
61
input [2:0]             pkt_tx_mod;             // To tx_eq0 of tx_enqueue.v
62 2 antanguay
input                   pkt_tx_sop;             // To tx_eq0 of tx_enqueue.v
63
input                   pkt_tx_val;             // To tx_eq0 of tx_enqueue.v
64
input                   reset_156m25_n;         // To rx_dq0 of rx_dequeue.v, ...
65
input                   reset_xgmii_rx_n;       // To rx_eq0 of rx_enqueue.v, ...
66
input                   reset_xgmii_tx_n;       // To tx_dq0 of tx_dequeue.v, ...
67
input [7:0]             wb_adr_i;               // To wishbone_if0 of wishbone_if.v
68
input                   wb_clk_i;               // To sync_clk_wb0 of sync_clk_wb.v, ...
69
input                   wb_cyc_i;               // To wishbone_if0 of wishbone_if.v
70
input [31:0]            wb_dat_i;               // To wishbone_if0 of wishbone_if.v
71
input                   wb_rst_i;               // To sync_clk_wb0 of sync_clk_wb.v, ...
72
input                   wb_stb_i;               // To wishbone_if0 of wishbone_if.v
73
input                   wb_we_i;                // To wishbone_if0 of wishbone_if.v
74
input [7:0]             xgmii_rxc;              // To rx_eq0 of rx_enqueue.v
75
input [63:0]            xgmii_rxd;              // To rx_eq0 of rx_enqueue.v
76
// End of automatics
77
 
78
/*AUTOOUTPUT*/
79
// Beginning of automatic outputs (from unused autoinst outputs)
80
output                  pkt_rx_avail;           // From rx_dq0 of rx_dequeue.v
81
output [63:0]           pkt_rx_data;            // From rx_dq0 of rx_dequeue.v
82 6 antanguay
output                  pkt_rx_eop;             // From rx_dq0 of rx_dequeue.v
83 2 antanguay
output                  pkt_rx_err;             // From rx_dq0 of rx_dequeue.v
84 6 antanguay
output [2:0]            pkt_rx_mod;             // From rx_dq0 of rx_dequeue.v
85 2 antanguay
output                  pkt_rx_sop;             // From rx_dq0 of rx_dequeue.v
86
output                  pkt_rx_val;             // From rx_dq0 of rx_dequeue.v
87
output                  pkt_tx_full;            // From tx_eq0 of tx_enqueue.v
88
output                  wb_ack_o;               // From wishbone_if0 of wishbone_if.v
89
output [31:0]           wb_dat_o;               // From wishbone_if0 of wishbone_if.v
90
output                  wb_int_o;               // From wishbone_if0 of wishbone_if.v
91
output [7:0]            xgmii_txc;              // From tx_dq0 of tx_dequeue.v
92
output [63:0]           xgmii_txd;              // From tx_dq0 of tx_dequeue.v
93
// End of automatics
94
 
95
/*AUTOWIRE*/
96
// Beginning of automatic wires (for undeclared instantiated-module outputs)
97
wire                    ctrl_tx_enable;         // From wishbone_if0 of wishbone_if.v
98
wire                    ctrl_tx_enable_ctx;     // From sync_clk_xgmii_tx0 of sync_clk_xgmii_tx.v
99
wire [1:0]              local_fault_msg_det;    // From rx_eq0 of rx_enqueue.v
100
wire [1:0]              remote_fault_msg_det;   // From rx_eq0 of rx_enqueue.v
101
wire                    rxdfifo_ralmost_empty;  // From rx_data_fifo0 of rx_data_fifo.v
102
wire [63:0]             rxdfifo_rdata;          // From rx_data_fifo0 of rx_data_fifo.v
103
wire                    rxdfifo_rempty;         // From rx_data_fifo0 of rx_data_fifo.v
104
wire                    rxdfifo_ren;            // From rx_dq0 of rx_dequeue.v
105
wire [7:0]              rxdfifo_rstatus;        // From rx_data_fifo0 of rx_data_fifo.v
106
wire [63:0]             rxdfifo_wdata;          // From rx_eq0 of rx_enqueue.v
107
wire                    rxdfifo_wen;            // From rx_eq0 of rx_enqueue.v
108
wire                    rxdfifo_wfull;          // From rx_data_fifo0 of rx_data_fifo.v
109
wire [7:0]              rxdfifo_wstatus;        // From rx_eq0 of rx_enqueue.v
110
wire                    rxhfifo_ralmost_empty;  // From rx_hold_fifo0 of rx_hold_fifo.v
111
wire [63:0]             rxhfifo_rdata;          // From rx_hold_fifo0 of rx_hold_fifo.v
112
wire                    rxhfifo_rempty;         // From rx_hold_fifo0 of rx_hold_fifo.v
113
wire                    rxhfifo_ren;            // From rx_eq0 of rx_enqueue.v
114
wire [7:0]              rxhfifo_rstatus;        // From rx_hold_fifo0 of rx_hold_fifo.v
115
wire [63:0]             rxhfifo_wdata;          // From rx_eq0 of rx_enqueue.v
116
wire                    rxhfifo_wen;            // From rx_eq0 of rx_enqueue.v
117
wire [7:0]              rxhfifo_wstatus;        // From rx_eq0 of rx_enqueue.v
118 23 antanguay
wire [31:0]             stats_rx_pkts;          // From stats0 of stats.v
119
wire [31:0]             stats_tx_pkts;          // From stats0 of stats.v
120 2 antanguay
wire                    status_crc_error;       // From sync_clk_wb0 of sync_clk_wb.v
121
wire                    status_crc_error_tog;   // From rx_eq0 of rx_enqueue.v
122
wire                    status_fragment_error;  // From sync_clk_wb0 of sync_clk_wb.v
123
wire                    status_fragment_error_tog;// From rx_eq0 of rx_enqueue.v
124 23 antanguay
wire [13:0]             status_good_frame_rx_size;// From rx_eq0 of rx_enqueue.v
125
wire                    status_good_frame_rx_tog;// From rx_eq0 of rx_enqueue.v
126
wire [13:0]             status_good_frame_tx_size;// From tx_dq0 of tx_dequeue.v
127
wire                    status_good_frame_tx_tog;// From tx_dq0 of tx_dequeue.v
128 2 antanguay
wire                    status_local_fault;     // From sync_clk_wb0 of sync_clk_wb.v
129
wire                    status_local_fault_crx; // From fault_sm0 of fault_sm.v
130
wire                    status_local_fault_ctx; // From sync_clk_xgmii_tx0 of sync_clk_xgmii_tx.v
131
wire                    status_pause_frame_rx;  // From sync_clk_wb0 of sync_clk_wb.v
132
wire                    status_pause_frame_rx_tog;// From rx_eq0 of rx_enqueue.v
133
wire                    status_remote_fault;    // From sync_clk_wb0 of sync_clk_wb.v
134
wire                    status_remote_fault_crx;// From fault_sm0 of fault_sm.v
135
wire                    status_remote_fault_ctx;// From sync_clk_xgmii_tx0 of sync_clk_xgmii_tx.v
136
wire                    status_rxdfifo_ovflow;  // From sync_clk_wb0 of sync_clk_wb.v
137
wire                    status_rxdfifo_ovflow_tog;// From rx_eq0 of rx_enqueue.v
138
wire                    status_rxdfifo_udflow;  // From sync_clk_wb0 of sync_clk_wb.v
139
wire                    status_rxdfifo_udflow_tog;// From rx_dq0 of rx_dequeue.v
140
wire                    status_txdfifo_ovflow;  // From sync_clk_wb0 of sync_clk_wb.v
141
wire                    status_txdfifo_ovflow_tog;// From tx_eq0 of tx_enqueue.v
142
wire                    status_txdfifo_udflow;  // From sync_clk_wb0 of sync_clk_wb.v
143
wire                    status_txdfifo_udflow_tog;// From tx_dq0 of tx_dequeue.v
144
wire                    txdfifo_ralmost_empty;  // From tx_data_fifo0 of tx_data_fifo.v
145
wire [63:0]             txdfifo_rdata;          // From tx_data_fifo0 of tx_data_fifo.v
146
wire                    txdfifo_rempty;         // From tx_data_fifo0 of tx_data_fifo.v
147
wire                    txdfifo_ren;            // From tx_dq0 of tx_dequeue.v
148
wire [7:0]              txdfifo_rstatus;        // From tx_data_fifo0 of tx_data_fifo.v
149
wire                    txdfifo_walmost_full;   // From tx_data_fifo0 of tx_data_fifo.v
150
wire [63:0]             txdfifo_wdata;          // From tx_eq0 of tx_enqueue.v
151
wire                    txdfifo_wen;            // From tx_eq0 of tx_enqueue.v
152
wire                    txdfifo_wfull;          // From tx_data_fifo0 of tx_data_fifo.v
153
wire [7:0]              txdfifo_wstatus;        // From tx_eq0 of tx_enqueue.v
154
wire                    txhfifo_ralmost_empty;  // From tx_hold_fifo0 of tx_hold_fifo.v
155
wire [63:0]             txhfifo_rdata;          // From tx_hold_fifo0 of tx_hold_fifo.v
156
wire                    txhfifo_rempty;         // From tx_hold_fifo0 of tx_hold_fifo.v
157
wire                    txhfifo_ren;            // From tx_dq0 of tx_dequeue.v
158
wire [7:0]              txhfifo_rstatus;        // From tx_hold_fifo0 of tx_hold_fifo.v
159
wire                    txhfifo_walmost_full;   // From tx_hold_fifo0 of tx_hold_fifo.v
160
wire [63:0]             txhfifo_wdata;          // From tx_dq0 of tx_dequeue.v
161
wire                    txhfifo_wen;            // From tx_dq0 of tx_dequeue.v
162
wire                    txhfifo_wfull;          // From tx_hold_fifo0 of tx_hold_fifo.v
163
wire [7:0]              txhfifo_wstatus;        // From tx_dq0 of tx_dequeue.v
164
// End of automatics
165
 
166
rx_enqueue rx_eq0(/*AUTOINST*/
167
                  // Outputs
168
                  .rxdfifo_wdata        (rxdfifo_wdata[63:0]),
169
                  .rxdfifo_wstatus      (rxdfifo_wstatus[7:0]),
170
                  .rxdfifo_wen          (rxdfifo_wen),
171
                  .rxhfifo_ren          (rxhfifo_ren),
172
                  .rxhfifo_wdata        (rxhfifo_wdata[63:0]),
173
                  .rxhfifo_wstatus      (rxhfifo_wstatus[7:0]),
174
                  .rxhfifo_wen          (rxhfifo_wen),
175
                  .local_fault_msg_det  (local_fault_msg_det[1:0]),
176
                  .remote_fault_msg_det (remote_fault_msg_det[1:0]),
177
                  .status_crc_error_tog (status_crc_error_tog),
178
                  .status_fragment_error_tog(status_fragment_error_tog),
179
                  .status_rxdfifo_ovflow_tog(status_rxdfifo_ovflow_tog),
180
                  .status_pause_frame_rx_tog(status_pause_frame_rx_tog),
181 23 antanguay
                  .status_good_frame_rx_tog(status_good_frame_rx_tog),
182
                  .status_good_frame_rx_size(status_good_frame_rx_size[13:0]),
183 2 antanguay
                  // Inputs
184
                  .clk_xgmii_rx         (clk_xgmii_rx),
185
                  .reset_xgmii_rx_n     (reset_xgmii_rx_n),
186
                  .xgmii_rxd            (xgmii_rxd[63:0]),
187
                  .xgmii_rxc            (xgmii_rxc[7:0]),
188
                  .rxdfifo_wfull        (rxdfifo_wfull),
189
                  .rxhfifo_rdata        (rxhfifo_rdata[63:0]),
190
                  .rxhfifo_rstatus      (rxhfifo_rstatus[7:0]),
191
                  .rxhfifo_rempty       (rxhfifo_rempty),
192
                  .rxhfifo_ralmost_empty(rxhfifo_ralmost_empty));
193
 
194
rx_dequeue rx_dq0(/*AUTOINST*/
195
                  // Outputs
196
                  .rxdfifo_ren          (rxdfifo_ren),
197
                  .pkt_rx_data          (pkt_rx_data[63:0]),
198
                  .pkt_rx_val           (pkt_rx_val),
199
                  .pkt_rx_sop           (pkt_rx_sop),
200 6 antanguay
                  .pkt_rx_eop           (pkt_rx_eop),
201 2 antanguay
                  .pkt_rx_err           (pkt_rx_err),
202 6 antanguay
                  .pkt_rx_mod           (pkt_rx_mod[2:0]),
203 2 antanguay
                  .pkt_rx_avail         (pkt_rx_avail),
204
                  .status_rxdfifo_udflow_tog(status_rxdfifo_udflow_tog),
205
                  // Inputs
206
                  .clk_156m25           (clk_156m25),
207
                  .reset_156m25_n       (reset_156m25_n),
208
                  .rxdfifo_rdata        (rxdfifo_rdata[63:0]),
209
                  .rxdfifo_rstatus      (rxdfifo_rstatus[7:0]),
210
                  .rxdfifo_rempty       (rxdfifo_rempty),
211
                  .rxdfifo_ralmost_empty(rxdfifo_ralmost_empty),
212
                  .pkt_rx_ren           (pkt_rx_ren));
213 20 antanguay
 
214 2 antanguay
rx_data_fifo rx_data_fifo0(/*AUTOINST*/
215
                           // Outputs
216 12 antanguay
                           .rxdfifo_wfull       (rxdfifo_wfull),
217
                           .rxdfifo_rdata       (rxdfifo_rdata[63:0]),
218
                           .rxdfifo_rstatus     (rxdfifo_rstatus[7:0]),
219
                           .rxdfifo_rempty      (rxdfifo_rempty),
220 2 antanguay
                           .rxdfifo_ralmost_empty(rxdfifo_ralmost_empty),
221
                           // Inputs
222 12 antanguay
                           .clk_xgmii_rx        (clk_xgmii_rx),
223
                           .clk_156m25          (clk_156m25),
224
                           .reset_xgmii_rx_n    (reset_xgmii_rx_n),
225
                           .reset_156m25_n      (reset_156m25_n),
226
                           .rxdfifo_wdata       (rxdfifo_wdata[63:0]),
227
                           .rxdfifo_wstatus     (rxdfifo_wstatus[7:0]),
228
                           .rxdfifo_wen         (rxdfifo_wen),
229
                           .rxdfifo_ren         (rxdfifo_ren));
230 2 antanguay
 
231
rx_hold_fifo rx_hold_fifo0(/*AUTOINST*/
232
                           // Outputs
233 12 antanguay
                           .rxhfifo_rdata       (rxhfifo_rdata[63:0]),
234
                           .rxhfifo_rstatus     (rxhfifo_rstatus[7:0]),
235
                           .rxhfifo_rempty      (rxhfifo_rempty),
236 2 antanguay
                           .rxhfifo_ralmost_empty(rxhfifo_ralmost_empty),
237
                           // Inputs
238 12 antanguay
                           .clk_xgmii_rx        (clk_xgmii_rx),
239
                           .reset_xgmii_rx_n    (reset_xgmii_rx_n),
240
                           .rxhfifo_wdata       (rxhfifo_wdata[63:0]),
241
                           .rxhfifo_wstatus     (rxhfifo_wstatus[7:0]),
242
                           .rxhfifo_wen         (rxhfifo_wen),
243
                           .rxhfifo_ren         (rxhfifo_ren));
244 2 antanguay
 
245
tx_enqueue tx_eq0 (/*AUTOINST*/
246
                   // Outputs
247
                   .pkt_tx_full         (pkt_tx_full),
248
                   .txdfifo_wdata       (txdfifo_wdata[63:0]),
249
                   .txdfifo_wstatus     (txdfifo_wstatus[7:0]),
250
                   .txdfifo_wen         (txdfifo_wen),
251
                   .status_txdfifo_ovflow_tog(status_txdfifo_ovflow_tog),
252
                   // Inputs
253
                   .clk_156m25          (clk_156m25),
254
                   .reset_156m25_n      (reset_156m25_n),
255
                   .pkt_tx_data         (pkt_tx_data[63:0]),
256
                   .pkt_tx_val          (pkt_tx_val),
257
                   .pkt_tx_sop          (pkt_tx_sop),
258 6 antanguay
                   .pkt_tx_eop          (pkt_tx_eop),
259
                   .pkt_tx_mod          (pkt_tx_mod[2:0]),
260 2 antanguay
                   .txdfifo_wfull       (txdfifo_wfull),
261
                   .txdfifo_walmost_full(txdfifo_walmost_full));
262
 
263
tx_dequeue tx_dq0(/*AUTOINST*/
264
                  // Outputs
265
                  .txdfifo_ren          (txdfifo_ren),
266
                  .txhfifo_ren          (txhfifo_ren),
267
                  .txhfifo_wdata        (txhfifo_wdata[63:0]),
268
                  .txhfifo_wstatus      (txhfifo_wstatus[7:0]),
269
                  .txhfifo_wen          (txhfifo_wen),
270
                  .xgmii_txd            (xgmii_txd[63:0]),
271
                  .xgmii_txc            (xgmii_txc[7:0]),
272
                  .status_txdfifo_udflow_tog(status_txdfifo_udflow_tog),
273 23 antanguay
                  .status_good_frame_tx_tog(status_good_frame_tx_tog),
274
                  .status_good_frame_tx_size(status_good_frame_tx_size[13:0]),
275 2 antanguay
                  // Inputs
276
                  .clk_xgmii_tx         (clk_xgmii_tx),
277
                  .reset_xgmii_tx_n     (reset_xgmii_tx_n),
278
                  .ctrl_tx_enable_ctx   (ctrl_tx_enable_ctx),
279
                  .status_local_fault_ctx(status_local_fault_ctx),
280
                  .status_remote_fault_ctx(status_remote_fault_ctx),
281
                  .txdfifo_rdata        (txdfifo_rdata[63:0]),
282
                  .txdfifo_rstatus      (txdfifo_rstatus[7:0]),
283
                  .txdfifo_rempty       (txdfifo_rempty),
284
                  .txdfifo_ralmost_empty(txdfifo_ralmost_empty),
285
                  .txhfifo_rdata        (txhfifo_rdata[63:0]),
286
                  .txhfifo_rstatus      (txhfifo_rstatus[7:0]),
287
                  .txhfifo_rempty       (txhfifo_rempty),
288
                  .txhfifo_ralmost_empty(txhfifo_ralmost_empty),
289
                  .txhfifo_wfull        (txhfifo_wfull),
290
                  .txhfifo_walmost_full (txhfifo_walmost_full));
291
 
292
tx_data_fifo tx_data_fifo0(/*AUTOINST*/
293
                           // Outputs
294 12 antanguay
                           .txdfifo_wfull       (txdfifo_wfull),
295 2 antanguay
                           .txdfifo_walmost_full(txdfifo_walmost_full),
296 12 antanguay
                           .txdfifo_rdata       (txdfifo_rdata[63:0]),
297
                           .txdfifo_rstatus     (txdfifo_rstatus[7:0]),
298
                           .txdfifo_rempty      (txdfifo_rempty),
299 2 antanguay
                           .txdfifo_ralmost_empty(txdfifo_ralmost_empty),
300
                           // Inputs
301 12 antanguay
                           .clk_xgmii_tx        (clk_xgmii_tx),
302
                           .clk_156m25          (clk_156m25),
303
                           .reset_xgmii_tx_n    (reset_xgmii_tx_n),
304
                           .reset_156m25_n      (reset_156m25_n),
305
                           .txdfifo_wdata       (txdfifo_wdata[63:0]),
306
                           .txdfifo_wstatus     (txdfifo_wstatus[7:0]),
307
                           .txdfifo_wen         (txdfifo_wen),
308
                           .txdfifo_ren         (txdfifo_ren));
309 2 antanguay
 
310
tx_hold_fifo tx_hold_fifo0(/*AUTOINST*/
311
                           // Outputs
312 12 antanguay
                           .txhfifo_wfull       (txhfifo_wfull),
313 2 antanguay
                           .txhfifo_walmost_full(txhfifo_walmost_full),
314 12 antanguay
                           .txhfifo_rdata       (txhfifo_rdata[63:0]),
315
                           .txhfifo_rstatus     (txhfifo_rstatus[7:0]),
316
                           .txhfifo_rempty      (txhfifo_rempty),
317 2 antanguay
                           .txhfifo_ralmost_empty(txhfifo_ralmost_empty),
318
                           // Inputs
319 12 antanguay
                           .clk_xgmii_tx        (clk_xgmii_tx),
320
                           .reset_xgmii_tx_n    (reset_xgmii_tx_n),
321
                           .txhfifo_wdata       (txhfifo_wdata[63:0]),
322
                           .txhfifo_wstatus     (txhfifo_wstatus[7:0]),
323
                           .txhfifo_wen         (txhfifo_wen),
324
                           .txhfifo_ren         (txhfifo_ren));
325 2 antanguay
 
326
fault_sm fault_sm0(/*AUTOINST*/
327
                   // Outputs
328
                   .status_local_fault_crx(status_local_fault_crx),
329
                   .status_remote_fault_crx(status_remote_fault_crx),
330
                   // Inputs
331
                   .clk_xgmii_rx        (clk_xgmii_rx),
332
                   .reset_xgmii_rx_n    (reset_xgmii_rx_n),
333
                   .local_fault_msg_det (local_fault_msg_det[1:0]),
334
                   .remote_fault_msg_det(remote_fault_msg_det[1:0]));
335
 
336
sync_clk_wb sync_clk_wb0(/*AUTOINST*/
337
                         // Outputs
338 12 antanguay
                         .status_crc_error      (status_crc_error),
339
                         .status_fragment_error (status_fragment_error),
340
                         .status_txdfifo_ovflow (status_txdfifo_ovflow),
341
                         .status_txdfifo_udflow (status_txdfifo_udflow),
342
                         .status_rxdfifo_ovflow (status_rxdfifo_ovflow),
343
                         .status_rxdfifo_udflow (status_rxdfifo_udflow),
344
                         .status_pause_frame_rx (status_pause_frame_rx),
345
                         .status_local_fault    (status_local_fault),
346
                         .status_remote_fault   (status_remote_fault),
347 2 antanguay
                         // Inputs
348 12 antanguay
                         .wb_clk_i              (wb_clk_i),
349
                         .wb_rst_i              (wb_rst_i),
350
                         .status_crc_error_tog  (status_crc_error_tog),
351 2 antanguay
                         .status_fragment_error_tog(status_fragment_error_tog),
352
                         .status_txdfifo_ovflow_tog(status_txdfifo_ovflow_tog),
353
                         .status_txdfifo_udflow_tog(status_txdfifo_udflow_tog),
354
                         .status_rxdfifo_ovflow_tog(status_rxdfifo_ovflow_tog),
355
                         .status_rxdfifo_udflow_tog(status_rxdfifo_udflow_tog),
356
                         .status_pause_frame_rx_tog(status_pause_frame_rx_tog),
357
                         .status_local_fault_crx(status_local_fault_crx),
358
                         .status_remote_fault_crx(status_remote_fault_crx));
359
 
360
sync_clk_xgmii_tx sync_clk_xgmii_tx0(/*AUTOINST*/
361
                                     // Outputs
362
                                     .ctrl_tx_enable_ctx(ctrl_tx_enable_ctx),
363
                                     .status_local_fault_ctx(status_local_fault_ctx),
364
                                     .status_remote_fault_ctx(status_remote_fault_ctx),
365
                                     // Inputs
366 12 antanguay
                                     .clk_xgmii_tx      (clk_xgmii_tx),
367
                                     .reset_xgmii_tx_n  (reset_xgmii_tx_n),
368
                                     .ctrl_tx_enable    (ctrl_tx_enable),
369 2 antanguay
                                     .status_local_fault_crx(status_local_fault_crx),
370
                                     .status_remote_fault_crx(status_remote_fault_crx));
371
 
372 23 antanguay
stats stats0(/*AUTOINST*/
373
             // Outputs
374
             .stats_tx_pkts             (stats_tx_pkts[31:0]),
375
             .stats_rx_pkts             (stats_rx_pkts[31:0]),
376
             // Inputs
377
             .wb_clk_i                  (wb_clk_i),
378
             .wb_rst_i                  (wb_rst_i),
379
             .status_good_frame_tx_tog  (status_good_frame_tx_tog),
380
             .status_good_frame_tx_size (status_good_frame_tx_size[13:0]),
381
             .status_good_frame_rx_tog  (status_good_frame_rx_tog),
382
             .status_good_frame_rx_size (status_good_frame_rx_size[13:0]));
383
 
384 20 antanguay
//sync_clk_core sync_clk_core0(/*AUTOINST*/
385
//                             // Inputs
386
//                             .clk_xgmii_tx      (clk_xgmii_tx),
387
//                             .reset_xgmii_tx_n  (reset_xgmii_tx_n));
388 2 antanguay
 
389
wishbone_if wishbone_if0(/*AUTOINST*/
390
                         // Outputs
391 12 antanguay
                         .wb_dat_o              (wb_dat_o[31:0]),
392
                         .wb_ack_o              (wb_ack_o),
393
                         .wb_int_o              (wb_int_o),
394
                         .ctrl_tx_enable        (ctrl_tx_enable),
395 2 antanguay
                         // Inputs
396 12 antanguay
                         .wb_clk_i              (wb_clk_i),
397
                         .wb_rst_i              (wb_rst_i),
398
                         .wb_adr_i              (wb_adr_i[7:0]),
399
                         .wb_dat_i              (wb_dat_i[31:0]),
400
                         .wb_we_i               (wb_we_i),
401
                         .wb_stb_i              (wb_stb_i),
402
                         .wb_cyc_i              (wb_cyc_i),
403
                         .status_crc_error      (status_crc_error),
404
                         .status_fragment_error (status_fragment_error),
405
                         .status_txdfifo_ovflow (status_txdfifo_ovflow),
406
                         .status_txdfifo_udflow (status_txdfifo_udflow),
407
                         .status_rxdfifo_ovflow (status_rxdfifo_ovflow),
408
                         .status_rxdfifo_udflow (status_rxdfifo_udflow),
409
                         .status_pause_frame_rx (status_pause_frame_rx),
410
                         .status_local_fault    (status_local_fault),
411 23 antanguay
                         .status_remote_fault   (status_remote_fault),
412
                         .stats_tx_pkts         (stats_tx_pkts[31:0]),
413
                         .stats_rx_pkts         (stats_rx_pkts[31:0]));
414 2 antanguay
 
415
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.