OpenCores
URL https://opencores.org/ocsvn/xge_mac/xge_mac/trunk

Subversion Repositories xge_mac

[/] [xge_mac/] [trunk/] [rtl/] [verilog/] [xge_mac.v] - Blame information for rev 24

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 antanguay
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name "xge_mac.v"                                       ////
4
////                                                              ////
5
////  This file is part of the "10GE MAC" project                 ////
6
////  http://www.opencores.org/cores/xge_mac/                     ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - A. Tanguay (antanguay@opencores.org)                  ////
10
////                                                              ////
11
//////////////////////////////////////////////////////////////////////
12
////                                                              ////
13
//// Copyright (C) 2008 AUTHORS. All rights reserved.             ////
14
////                                                              ////
15
//// This source file may be used and distributed without         ////
16
//// restriction provided that this copyright statement is not    ////
17
//// removed from the file and that any derivative work contains  ////
18
//// the original copyright notice and the associated disclaimer. ////
19
////                                                              ////
20
//// This source file is free software; you can redistribute it   ////
21
//// and/or modify it under the terms of the GNU Lesser General   ////
22
//// Public License as published by the Free Software Foundation; ////
23
//// either version 2.1 of the License, or (at your option) any   ////
24
//// later version.                                               ////
25
////                                                              ////
26
//// This source is distributed in the hope that it will be       ////
27
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
28
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
29
//// PURPOSE.  See the GNU Lesser General Public License for more ////
30
//// details.                                                     ////
31
////                                                              ////
32
//// You should have received a copy of the GNU Lesser General    ////
33
//// Public License along with this source; if not, download it   ////
34
//// from http://www.opencores.org/lgpl.shtml                     ////
35
////                                                              ////
36
//////////////////////////////////////////////////////////////////////
37
 
38
 
39
`include "defines.v"
40
 
41
module xge_mac(/*AUTOARG*/
42
  // Outputs
43 12 antanguay
  xgmii_txd, xgmii_txc, wb_int_o, wb_dat_o, wb_ack_o, pkt_tx_full,
44
  pkt_rx_val, pkt_rx_sop, pkt_rx_mod, pkt_rx_err, pkt_rx_eop,
45
  pkt_rx_data, pkt_rx_avail,
46 2 antanguay
  // Inputs
47 12 antanguay
  xgmii_rxd, xgmii_rxc, wb_we_i, wb_stb_i, wb_rst_i, wb_dat_i,
48
  wb_cyc_i, wb_clk_i, wb_adr_i, reset_xgmii_tx_n, reset_xgmii_rx_n,
49
  reset_156m25_n, pkt_tx_val, pkt_tx_sop, pkt_tx_mod, pkt_tx_eop,
50 6 antanguay
  pkt_tx_data, pkt_rx_ren, clk_xgmii_tx, clk_xgmii_rx, clk_156m25
51 2 antanguay
  );
52
 
53
/*AUTOINPUT*/
54
// Beginning of automatic inputs (from unused autoinst inputs)
55
input                   clk_156m25;             // To rx_dq0 of rx_dequeue.v, ...
56
input                   clk_xgmii_rx;           // To rx_eq0 of rx_enqueue.v, ...
57
input                   clk_xgmii_tx;           // To tx_dq0 of tx_dequeue.v, ...
58
input                   pkt_rx_ren;             // To rx_dq0 of rx_dequeue.v
59
input [63:0]            pkt_tx_data;            // To tx_eq0 of tx_enqueue.v
60 6 antanguay
input                   pkt_tx_eop;             // To tx_eq0 of tx_enqueue.v
61
input [2:0]             pkt_tx_mod;             // To tx_eq0 of tx_enqueue.v
62 2 antanguay
input                   pkt_tx_sop;             // To tx_eq0 of tx_enqueue.v
63
input                   pkt_tx_val;             // To tx_eq0 of tx_enqueue.v
64
input                   reset_156m25_n;         // To rx_dq0 of rx_dequeue.v, ...
65
input                   reset_xgmii_rx_n;       // To rx_eq0 of rx_enqueue.v, ...
66
input                   reset_xgmii_tx_n;       // To tx_dq0 of tx_dequeue.v, ...
67
input [7:0]             wb_adr_i;               // To wishbone_if0 of wishbone_if.v
68
input                   wb_clk_i;               // To sync_clk_wb0 of sync_clk_wb.v, ...
69
input                   wb_cyc_i;               // To wishbone_if0 of wishbone_if.v
70
input [31:0]            wb_dat_i;               // To wishbone_if0 of wishbone_if.v
71
input                   wb_rst_i;               // To sync_clk_wb0 of sync_clk_wb.v, ...
72
input                   wb_stb_i;               // To wishbone_if0 of wishbone_if.v
73
input                   wb_we_i;                // To wishbone_if0 of wishbone_if.v
74
input [7:0]             xgmii_rxc;              // To rx_eq0 of rx_enqueue.v
75
input [63:0]            xgmii_rxd;              // To rx_eq0 of rx_enqueue.v
76
// End of automatics
77
 
78
/*AUTOOUTPUT*/
79
// Beginning of automatic outputs (from unused autoinst outputs)
80
output                  pkt_rx_avail;           // From rx_dq0 of rx_dequeue.v
81
output [63:0]           pkt_rx_data;            // From rx_dq0 of rx_dequeue.v
82 6 antanguay
output                  pkt_rx_eop;             // From rx_dq0 of rx_dequeue.v
83 2 antanguay
output                  pkt_rx_err;             // From rx_dq0 of rx_dequeue.v
84 6 antanguay
output [2:0]            pkt_rx_mod;             // From rx_dq0 of rx_dequeue.v
85 2 antanguay
output                  pkt_rx_sop;             // From rx_dq0 of rx_dequeue.v
86
output                  pkt_rx_val;             // From rx_dq0 of rx_dequeue.v
87
output                  pkt_tx_full;            // From tx_eq0 of tx_enqueue.v
88
output                  wb_ack_o;               // From wishbone_if0 of wishbone_if.v
89
output [31:0]           wb_dat_o;               // From wishbone_if0 of wishbone_if.v
90
output                  wb_int_o;               // From wishbone_if0 of wishbone_if.v
91
output [7:0]            xgmii_txc;              // From tx_dq0 of tx_dequeue.v
92
output [63:0]           xgmii_txd;              // From tx_dq0 of tx_dequeue.v
93
// End of automatics
94
 
95
/*AUTOWIRE*/
96
// Beginning of automatic wires (for undeclared instantiated-module outputs)
97
wire                    ctrl_tx_enable;         // From wishbone_if0 of wishbone_if.v
98
wire                    ctrl_tx_enable_ctx;     // From sync_clk_xgmii_tx0 of sync_clk_xgmii_tx.v
99
wire [1:0]              local_fault_msg_det;    // From rx_eq0 of rx_enqueue.v
100
wire [1:0]              remote_fault_msg_det;   // From rx_eq0 of rx_enqueue.v
101
wire                    rxdfifo_ralmost_empty;  // From rx_data_fifo0 of rx_data_fifo.v
102
wire [63:0]             rxdfifo_rdata;          // From rx_data_fifo0 of rx_data_fifo.v
103
wire                    rxdfifo_rempty;         // From rx_data_fifo0 of rx_data_fifo.v
104
wire                    rxdfifo_ren;            // From rx_dq0 of rx_dequeue.v
105
wire [7:0]              rxdfifo_rstatus;        // From rx_data_fifo0 of rx_data_fifo.v
106
wire [63:0]             rxdfifo_wdata;          // From rx_eq0 of rx_enqueue.v
107
wire                    rxdfifo_wen;            // From rx_eq0 of rx_enqueue.v
108
wire                    rxdfifo_wfull;          // From rx_data_fifo0 of rx_data_fifo.v
109
wire [7:0]              rxdfifo_wstatus;        // From rx_eq0 of rx_enqueue.v
110
wire                    rxhfifo_ralmost_empty;  // From rx_hold_fifo0 of rx_hold_fifo.v
111
wire [63:0]             rxhfifo_rdata;          // From rx_hold_fifo0 of rx_hold_fifo.v
112
wire                    rxhfifo_rempty;         // From rx_hold_fifo0 of rx_hold_fifo.v
113
wire                    rxhfifo_ren;            // From rx_eq0 of rx_enqueue.v
114
wire [7:0]              rxhfifo_rstatus;        // From rx_hold_fifo0 of rx_hold_fifo.v
115
wire [63:0]             rxhfifo_wdata;          // From rx_eq0 of rx_enqueue.v
116
wire                    rxhfifo_wen;            // From rx_eq0 of rx_enqueue.v
117
wire [7:0]              rxhfifo_wstatus;        // From rx_eq0 of rx_enqueue.v
118 24 antanguay
wire [13:0]             rxsfifo_wdata;          // From rx_eq0 of rx_enqueue.v
119
wire                    rxsfifo_wen;            // From rx_eq0 of rx_enqueue.v
120
wire [31:0]             stats_rx_octets;        // From stats0 of stats.v
121 23 antanguay
wire [31:0]             stats_rx_pkts;          // From stats0 of stats.v
122 24 antanguay
wire [31:0]             stats_tx_octets;        // From stats0 of stats.v
123 23 antanguay
wire [31:0]             stats_tx_pkts;          // From stats0 of stats.v
124 2 antanguay
wire                    status_crc_error;       // From sync_clk_wb0 of sync_clk_wb.v
125
wire                    status_crc_error_tog;   // From rx_eq0 of rx_enqueue.v
126
wire                    status_fragment_error;  // From sync_clk_wb0 of sync_clk_wb.v
127
wire                    status_fragment_error_tog;// From rx_eq0 of rx_enqueue.v
128
wire                    status_local_fault;     // From sync_clk_wb0 of sync_clk_wb.v
129
wire                    status_local_fault_crx; // From fault_sm0 of fault_sm.v
130
wire                    status_local_fault_ctx; // From sync_clk_xgmii_tx0 of sync_clk_xgmii_tx.v
131
wire                    status_pause_frame_rx;  // From sync_clk_wb0 of sync_clk_wb.v
132
wire                    status_pause_frame_rx_tog;// From rx_eq0 of rx_enqueue.v
133
wire                    status_remote_fault;    // From sync_clk_wb0 of sync_clk_wb.v
134
wire                    status_remote_fault_crx;// From fault_sm0 of fault_sm.v
135
wire                    status_remote_fault_ctx;// From sync_clk_xgmii_tx0 of sync_clk_xgmii_tx.v
136
wire                    status_rxdfifo_ovflow;  // From sync_clk_wb0 of sync_clk_wb.v
137
wire                    status_rxdfifo_ovflow_tog;// From rx_eq0 of rx_enqueue.v
138
wire                    status_rxdfifo_udflow;  // From sync_clk_wb0 of sync_clk_wb.v
139
wire                    status_rxdfifo_udflow_tog;// From rx_dq0 of rx_dequeue.v
140
wire                    status_txdfifo_ovflow;  // From sync_clk_wb0 of sync_clk_wb.v
141
wire                    status_txdfifo_ovflow_tog;// From tx_eq0 of tx_enqueue.v
142
wire                    status_txdfifo_udflow;  // From sync_clk_wb0 of sync_clk_wb.v
143
wire                    status_txdfifo_udflow_tog;// From tx_dq0 of tx_dequeue.v
144
wire                    txdfifo_ralmost_empty;  // From tx_data_fifo0 of tx_data_fifo.v
145
wire [63:0]             txdfifo_rdata;          // From tx_data_fifo0 of tx_data_fifo.v
146
wire                    txdfifo_rempty;         // From tx_data_fifo0 of tx_data_fifo.v
147
wire                    txdfifo_ren;            // From tx_dq0 of tx_dequeue.v
148
wire [7:0]              txdfifo_rstatus;        // From tx_data_fifo0 of tx_data_fifo.v
149
wire                    txdfifo_walmost_full;   // From tx_data_fifo0 of tx_data_fifo.v
150
wire [63:0]             txdfifo_wdata;          // From tx_eq0 of tx_enqueue.v
151
wire                    txdfifo_wen;            // From tx_eq0 of tx_enqueue.v
152
wire                    txdfifo_wfull;          // From tx_data_fifo0 of tx_data_fifo.v
153
wire [7:0]              txdfifo_wstatus;        // From tx_eq0 of tx_enqueue.v
154
wire                    txhfifo_ralmost_empty;  // From tx_hold_fifo0 of tx_hold_fifo.v
155
wire [63:0]             txhfifo_rdata;          // From tx_hold_fifo0 of tx_hold_fifo.v
156
wire                    txhfifo_rempty;         // From tx_hold_fifo0 of tx_hold_fifo.v
157
wire                    txhfifo_ren;            // From tx_dq0 of tx_dequeue.v
158
wire [7:0]              txhfifo_rstatus;        // From tx_hold_fifo0 of tx_hold_fifo.v
159
wire                    txhfifo_walmost_full;   // From tx_hold_fifo0 of tx_hold_fifo.v
160
wire [63:0]             txhfifo_wdata;          // From tx_dq0 of tx_dequeue.v
161
wire                    txhfifo_wen;            // From tx_dq0 of tx_dequeue.v
162
wire                    txhfifo_wfull;          // From tx_hold_fifo0 of tx_hold_fifo.v
163
wire [7:0]              txhfifo_wstatus;        // From tx_dq0 of tx_dequeue.v
164 24 antanguay
wire [13:0]             txsfifo_wdata;          // From tx_dq0 of tx_dequeue.v
165
wire                    txsfifo_wen;            // From tx_dq0 of tx_dequeue.v
166 2 antanguay
// End of automatics
167
 
168
rx_enqueue rx_eq0(/*AUTOINST*/
169
                  // Outputs
170
                  .rxdfifo_wdata        (rxdfifo_wdata[63:0]),
171
                  .rxdfifo_wstatus      (rxdfifo_wstatus[7:0]),
172
                  .rxdfifo_wen          (rxdfifo_wen),
173
                  .rxhfifo_ren          (rxhfifo_ren),
174
                  .rxhfifo_wdata        (rxhfifo_wdata[63:0]),
175
                  .rxhfifo_wstatus      (rxhfifo_wstatus[7:0]),
176
                  .rxhfifo_wen          (rxhfifo_wen),
177
                  .local_fault_msg_det  (local_fault_msg_det[1:0]),
178
                  .remote_fault_msg_det (remote_fault_msg_det[1:0]),
179
                  .status_crc_error_tog (status_crc_error_tog),
180
                  .status_fragment_error_tog(status_fragment_error_tog),
181
                  .status_rxdfifo_ovflow_tog(status_rxdfifo_ovflow_tog),
182
                  .status_pause_frame_rx_tog(status_pause_frame_rx_tog),
183 24 antanguay
                  .rxsfifo_wen          (rxsfifo_wen),
184
                  .rxsfifo_wdata        (rxsfifo_wdata[13:0]),
185 2 antanguay
                  // Inputs
186
                  .clk_xgmii_rx         (clk_xgmii_rx),
187
                  .reset_xgmii_rx_n     (reset_xgmii_rx_n),
188
                  .xgmii_rxd            (xgmii_rxd[63:0]),
189
                  .xgmii_rxc            (xgmii_rxc[7:0]),
190
                  .rxdfifo_wfull        (rxdfifo_wfull),
191
                  .rxhfifo_rdata        (rxhfifo_rdata[63:0]),
192
                  .rxhfifo_rstatus      (rxhfifo_rstatus[7:0]),
193
                  .rxhfifo_rempty       (rxhfifo_rempty),
194
                  .rxhfifo_ralmost_empty(rxhfifo_ralmost_empty));
195
 
196
rx_dequeue rx_dq0(/*AUTOINST*/
197
                  // Outputs
198
                  .rxdfifo_ren          (rxdfifo_ren),
199
                  .pkt_rx_data          (pkt_rx_data[63:0]),
200
                  .pkt_rx_val           (pkt_rx_val),
201
                  .pkt_rx_sop           (pkt_rx_sop),
202 6 antanguay
                  .pkt_rx_eop           (pkt_rx_eop),
203 2 antanguay
                  .pkt_rx_err           (pkt_rx_err),
204 6 antanguay
                  .pkt_rx_mod           (pkt_rx_mod[2:0]),
205 2 antanguay
                  .pkt_rx_avail         (pkt_rx_avail),
206
                  .status_rxdfifo_udflow_tog(status_rxdfifo_udflow_tog),
207
                  // Inputs
208
                  .clk_156m25           (clk_156m25),
209
                  .reset_156m25_n       (reset_156m25_n),
210
                  .rxdfifo_rdata        (rxdfifo_rdata[63:0]),
211
                  .rxdfifo_rstatus      (rxdfifo_rstatus[7:0]),
212
                  .rxdfifo_rempty       (rxdfifo_rempty),
213
                  .rxdfifo_ralmost_empty(rxdfifo_ralmost_empty),
214
                  .pkt_rx_ren           (pkt_rx_ren));
215 20 antanguay
 
216 2 antanguay
rx_data_fifo rx_data_fifo0(/*AUTOINST*/
217
                           // Outputs
218 12 antanguay
                           .rxdfifo_wfull       (rxdfifo_wfull),
219
                           .rxdfifo_rdata       (rxdfifo_rdata[63:0]),
220
                           .rxdfifo_rstatus     (rxdfifo_rstatus[7:0]),
221
                           .rxdfifo_rempty      (rxdfifo_rempty),
222 2 antanguay
                           .rxdfifo_ralmost_empty(rxdfifo_ralmost_empty),
223
                           // Inputs
224 12 antanguay
                           .clk_xgmii_rx        (clk_xgmii_rx),
225
                           .clk_156m25          (clk_156m25),
226
                           .reset_xgmii_rx_n    (reset_xgmii_rx_n),
227
                           .reset_156m25_n      (reset_156m25_n),
228
                           .rxdfifo_wdata       (rxdfifo_wdata[63:0]),
229
                           .rxdfifo_wstatus     (rxdfifo_wstatus[7:0]),
230
                           .rxdfifo_wen         (rxdfifo_wen),
231
                           .rxdfifo_ren         (rxdfifo_ren));
232 2 antanguay
 
233
rx_hold_fifo rx_hold_fifo0(/*AUTOINST*/
234
                           // Outputs
235 12 antanguay
                           .rxhfifo_rdata       (rxhfifo_rdata[63:0]),
236
                           .rxhfifo_rstatus     (rxhfifo_rstatus[7:0]),
237
                           .rxhfifo_rempty      (rxhfifo_rempty),
238 2 antanguay
                           .rxhfifo_ralmost_empty(rxhfifo_ralmost_empty),
239
                           // Inputs
240 12 antanguay
                           .clk_xgmii_rx        (clk_xgmii_rx),
241
                           .reset_xgmii_rx_n    (reset_xgmii_rx_n),
242
                           .rxhfifo_wdata       (rxhfifo_wdata[63:0]),
243
                           .rxhfifo_wstatus     (rxhfifo_wstatus[7:0]),
244
                           .rxhfifo_wen         (rxhfifo_wen),
245
                           .rxhfifo_ren         (rxhfifo_ren));
246 2 antanguay
 
247
tx_enqueue tx_eq0 (/*AUTOINST*/
248
                   // Outputs
249
                   .pkt_tx_full         (pkt_tx_full),
250
                   .txdfifo_wdata       (txdfifo_wdata[63:0]),
251
                   .txdfifo_wstatus     (txdfifo_wstatus[7:0]),
252
                   .txdfifo_wen         (txdfifo_wen),
253
                   .status_txdfifo_ovflow_tog(status_txdfifo_ovflow_tog),
254
                   // Inputs
255
                   .clk_156m25          (clk_156m25),
256
                   .reset_156m25_n      (reset_156m25_n),
257
                   .pkt_tx_data         (pkt_tx_data[63:0]),
258
                   .pkt_tx_val          (pkt_tx_val),
259
                   .pkt_tx_sop          (pkt_tx_sop),
260 6 antanguay
                   .pkt_tx_eop          (pkt_tx_eop),
261
                   .pkt_tx_mod          (pkt_tx_mod[2:0]),
262 2 antanguay
                   .txdfifo_wfull       (txdfifo_wfull),
263
                   .txdfifo_walmost_full(txdfifo_walmost_full));
264
 
265
tx_dequeue tx_dq0(/*AUTOINST*/
266
                  // Outputs
267
                  .txdfifo_ren          (txdfifo_ren),
268
                  .txhfifo_ren          (txhfifo_ren),
269
                  .txhfifo_wdata        (txhfifo_wdata[63:0]),
270
                  .txhfifo_wstatus      (txhfifo_wstatus[7:0]),
271
                  .txhfifo_wen          (txhfifo_wen),
272
                  .xgmii_txd            (xgmii_txd[63:0]),
273
                  .xgmii_txc            (xgmii_txc[7:0]),
274
                  .status_txdfifo_udflow_tog(status_txdfifo_udflow_tog),
275 24 antanguay
                  .txsfifo_wen          (txsfifo_wen),
276
                  .txsfifo_wdata        (txsfifo_wdata[13:0]),
277 2 antanguay
                  // Inputs
278
                  .clk_xgmii_tx         (clk_xgmii_tx),
279
                  .reset_xgmii_tx_n     (reset_xgmii_tx_n),
280
                  .ctrl_tx_enable_ctx   (ctrl_tx_enable_ctx),
281
                  .status_local_fault_ctx(status_local_fault_ctx),
282
                  .status_remote_fault_ctx(status_remote_fault_ctx),
283
                  .txdfifo_rdata        (txdfifo_rdata[63:0]),
284
                  .txdfifo_rstatus      (txdfifo_rstatus[7:0]),
285
                  .txdfifo_rempty       (txdfifo_rempty),
286
                  .txdfifo_ralmost_empty(txdfifo_ralmost_empty),
287
                  .txhfifo_rdata        (txhfifo_rdata[63:0]),
288
                  .txhfifo_rstatus      (txhfifo_rstatus[7:0]),
289
                  .txhfifo_rempty       (txhfifo_rempty),
290
                  .txhfifo_ralmost_empty(txhfifo_ralmost_empty),
291
                  .txhfifo_wfull        (txhfifo_wfull),
292
                  .txhfifo_walmost_full (txhfifo_walmost_full));
293
 
294
tx_data_fifo tx_data_fifo0(/*AUTOINST*/
295
                           // Outputs
296 12 antanguay
                           .txdfifo_wfull       (txdfifo_wfull),
297 2 antanguay
                           .txdfifo_walmost_full(txdfifo_walmost_full),
298 12 antanguay
                           .txdfifo_rdata       (txdfifo_rdata[63:0]),
299
                           .txdfifo_rstatus     (txdfifo_rstatus[7:0]),
300
                           .txdfifo_rempty      (txdfifo_rempty),
301 2 antanguay
                           .txdfifo_ralmost_empty(txdfifo_ralmost_empty),
302
                           // Inputs
303 12 antanguay
                           .clk_xgmii_tx        (clk_xgmii_tx),
304
                           .clk_156m25          (clk_156m25),
305
                           .reset_xgmii_tx_n    (reset_xgmii_tx_n),
306
                           .reset_156m25_n      (reset_156m25_n),
307
                           .txdfifo_wdata       (txdfifo_wdata[63:0]),
308
                           .txdfifo_wstatus     (txdfifo_wstatus[7:0]),
309
                           .txdfifo_wen         (txdfifo_wen),
310
                           .txdfifo_ren         (txdfifo_ren));
311 2 antanguay
 
312
tx_hold_fifo tx_hold_fifo0(/*AUTOINST*/
313
                           // Outputs
314 12 antanguay
                           .txhfifo_wfull       (txhfifo_wfull),
315 2 antanguay
                           .txhfifo_walmost_full(txhfifo_walmost_full),
316 12 antanguay
                           .txhfifo_rdata       (txhfifo_rdata[63:0]),
317
                           .txhfifo_rstatus     (txhfifo_rstatus[7:0]),
318
                           .txhfifo_rempty      (txhfifo_rempty),
319 2 antanguay
                           .txhfifo_ralmost_empty(txhfifo_ralmost_empty),
320
                           // Inputs
321 12 antanguay
                           .clk_xgmii_tx        (clk_xgmii_tx),
322
                           .reset_xgmii_tx_n    (reset_xgmii_tx_n),
323
                           .txhfifo_wdata       (txhfifo_wdata[63:0]),
324
                           .txhfifo_wstatus     (txhfifo_wstatus[7:0]),
325
                           .txhfifo_wen         (txhfifo_wen),
326
                           .txhfifo_ren         (txhfifo_ren));
327 2 antanguay
 
328
fault_sm fault_sm0(/*AUTOINST*/
329
                   // Outputs
330
                   .status_local_fault_crx(status_local_fault_crx),
331
                   .status_remote_fault_crx(status_remote_fault_crx),
332
                   // Inputs
333
                   .clk_xgmii_rx        (clk_xgmii_rx),
334
                   .reset_xgmii_rx_n    (reset_xgmii_rx_n),
335
                   .local_fault_msg_det (local_fault_msg_det[1:0]),
336
                   .remote_fault_msg_det(remote_fault_msg_det[1:0]));
337
 
338
sync_clk_wb sync_clk_wb0(/*AUTOINST*/
339
                         // Outputs
340 12 antanguay
                         .status_crc_error      (status_crc_error),
341
                         .status_fragment_error (status_fragment_error),
342
                         .status_txdfifo_ovflow (status_txdfifo_ovflow),
343
                         .status_txdfifo_udflow (status_txdfifo_udflow),
344
                         .status_rxdfifo_ovflow (status_rxdfifo_ovflow),
345
                         .status_rxdfifo_udflow (status_rxdfifo_udflow),
346
                         .status_pause_frame_rx (status_pause_frame_rx),
347
                         .status_local_fault    (status_local_fault),
348
                         .status_remote_fault   (status_remote_fault),
349 2 antanguay
                         // Inputs
350 12 antanguay
                         .wb_clk_i              (wb_clk_i),
351
                         .wb_rst_i              (wb_rst_i),
352
                         .status_crc_error_tog  (status_crc_error_tog),
353 2 antanguay
                         .status_fragment_error_tog(status_fragment_error_tog),
354
                         .status_txdfifo_ovflow_tog(status_txdfifo_ovflow_tog),
355
                         .status_txdfifo_udflow_tog(status_txdfifo_udflow_tog),
356
                         .status_rxdfifo_ovflow_tog(status_rxdfifo_ovflow_tog),
357
                         .status_rxdfifo_udflow_tog(status_rxdfifo_udflow_tog),
358
                         .status_pause_frame_rx_tog(status_pause_frame_rx_tog),
359
                         .status_local_fault_crx(status_local_fault_crx),
360
                         .status_remote_fault_crx(status_remote_fault_crx));
361
 
362
sync_clk_xgmii_tx sync_clk_xgmii_tx0(/*AUTOINST*/
363
                                     // Outputs
364
                                     .ctrl_tx_enable_ctx(ctrl_tx_enable_ctx),
365
                                     .status_local_fault_ctx(status_local_fault_ctx),
366
                                     .status_remote_fault_ctx(status_remote_fault_ctx),
367
                                     // Inputs
368 12 antanguay
                                     .clk_xgmii_tx      (clk_xgmii_tx),
369
                                     .reset_xgmii_tx_n  (reset_xgmii_tx_n),
370
                                     .ctrl_tx_enable    (ctrl_tx_enable),
371 2 antanguay
                                     .status_local_fault_crx(status_local_fault_crx),
372
                                     .status_remote_fault_crx(status_remote_fault_crx));
373
 
374 23 antanguay
stats stats0(/*AUTOINST*/
375
             // Outputs
376 24 antanguay
             .stats_rx_octets           (stats_rx_octets[31:0]),
377
             .stats_rx_pkts             (stats_rx_pkts[31:0]),
378
             .stats_tx_octets           (stats_tx_octets[31:0]),
379 23 antanguay
             .stats_tx_pkts             (stats_tx_pkts[31:0]),
380
             // Inputs
381 24 antanguay
             .clk_xgmii_rx              (clk_xgmii_rx),
382
             .clk_xgmii_tx              (clk_xgmii_tx),
383
             .reset_xgmii_rx_n          (reset_xgmii_rx_n),
384
             .reset_xgmii_tx_n          (reset_xgmii_tx_n),
385
             .rxsfifo_wdata             (rxsfifo_wdata[13:0]),
386
             .rxsfifo_wen               (rxsfifo_wen),
387
             .txsfifo_wdata             (txsfifo_wdata[13:0]),
388
             .txsfifo_wen               (txsfifo_wen),
389 23 antanguay
             .wb_clk_i                  (wb_clk_i),
390 24 antanguay
             .wb_rst_i                  (wb_rst_i));
391 23 antanguay
 
392 20 antanguay
//sync_clk_core sync_clk_core0(/*AUTOINST*/
393
//                             // Inputs
394
//                             .clk_xgmii_tx      (clk_xgmii_tx),
395
//                             .reset_xgmii_tx_n  (reset_xgmii_tx_n));
396 2 antanguay
 
397
wishbone_if wishbone_if0(/*AUTOINST*/
398
                         // Outputs
399 12 antanguay
                         .wb_dat_o              (wb_dat_o[31:0]),
400
                         .wb_ack_o              (wb_ack_o),
401
                         .wb_int_o              (wb_int_o),
402
                         .ctrl_tx_enable        (ctrl_tx_enable),
403 2 antanguay
                         // Inputs
404 12 antanguay
                         .wb_clk_i              (wb_clk_i),
405
                         .wb_rst_i              (wb_rst_i),
406
                         .wb_adr_i              (wb_adr_i[7:0]),
407
                         .wb_dat_i              (wb_dat_i[31:0]),
408
                         .wb_we_i               (wb_we_i),
409
                         .wb_stb_i              (wb_stb_i),
410
                         .wb_cyc_i              (wb_cyc_i),
411
                         .status_crc_error      (status_crc_error),
412
                         .status_fragment_error (status_fragment_error),
413
                         .status_txdfifo_ovflow (status_txdfifo_ovflow),
414
                         .status_txdfifo_udflow (status_txdfifo_udflow),
415
                         .status_rxdfifo_ovflow (status_rxdfifo_ovflow),
416
                         .status_rxdfifo_udflow (status_rxdfifo_udflow),
417
                         .status_pause_frame_rx (status_pause_frame_rx),
418
                         .status_local_fault    (status_local_fault),
419 23 antanguay
                         .status_remote_fault   (status_remote_fault),
420 24 antanguay
                         .stats_tx_octets       (stats_tx_octets[31:0]),
421 23 antanguay
                         .stats_tx_pkts         (stats_tx_pkts[31:0]),
422 24 antanguay
                         .stats_rx_octets       (stats_rx_octets[31:0]),
423 23 antanguay
                         .stats_rx_pkts         (stats_rx_pkts[31:0]));
424 2 antanguay
 
425
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.