OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [coregen/] [DP_RAM_XILINX_256/] [example_design/] [DP_RAM_XILINX_256_exdes.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
 
2
 
3
 
4
 
5
 
6
 
7
 
8
 
9
--------------------------------------------------------------------------------
10
--
11
-- BLK MEM GEN v7.1 Core - Top-level core wrapper
12
--
13
--------------------------------------------------------------------------------
14
--
15
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
16
--
17
-- This file contains confidential and proprietary information
18
-- of Xilinx, Inc. and is protected under U.S. and
19
-- international copyright and other intellectual property
20
-- laws.
21
--
22
-- DISCLAIMER
23
-- This disclaimer is not a license and does not grant any
24
-- rights to the materials distributed herewith. Except as
25
-- otherwise provided in a valid license issued to you by
26
-- Xilinx, and to the maximum extent permitted by applicable
27
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
28
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
29
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
30
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
31
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
32
-- (2) Xilinx shall not be liable (whether in contract or tort,
33
-- including negligence, or under any other theory of
34
-- liability) for any loss or damage of any kind or nature
35
-- related to, arising under or in connection with these
36
-- materials, including for any direct, or any indirect,
37
-- special, incidental, or consequential loss or damage
38
-- (including loss of data, profits, goodwill, or any type of
39
-- loss or damage suffered as a result of any action brought
40
-- by a third party) even if such damage or loss was
41
-- reasonably foreseeable or Xilinx had been advised of the
42
-- possibility of the same.
43
--
44
-- CRITICAL APPLICATIONS
45
-- Xilinx products are not designed or intended to be fail-
46
-- safe, or for use in any application requiring fail-safe
47
-- performance, such as life-support or safety devices or
48
-- systems, Class III medical devices, nuclear facilities,
49
-- applications related to the deployment of airbags, or any
50
-- other applications that could lead to death, personal
51
-- injury, or severe property or environmental damage
52
-- (individually and collectively, "Critical
53
-- Applications"). Customer assumes the sole risk and
54
-- liability of any use of Xilinx products in Critical
55
-- Applications, subject only to applicable laws and
56
-- regulations governing limitations on product liability.
57
--
58
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
59
-- PART OF THIS FILE AT ALL TIMES.
60
 
61
--------------------------------------------------------------------------------
62
--
63
-- Filename: DP_RAM_XILINX_256_exdes.vhd
64
--
65
-- Description:
66
--   This is the actual BMG core wrapper.
67
--
68
--------------------------------------------------------------------------------
69
-- Author: IP Solutions Division
70
--
71
-- History: August 31, 2005 - First Release
72
--------------------------------------------------------------------------------
73
--
74
--------------------------------------------------------------------------------
75
-- Library Declarations
76
--------------------------------------------------------------------------------
77
 
78
LIBRARY IEEE;
79
USE IEEE.STD_LOGIC_1164.ALL;
80
USE IEEE.STD_LOGIC_ARITH.ALL;
81
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
82
 
83
LIBRARY UNISIM;
84
USE UNISIM.VCOMPONENTS.ALL;
85
 
86
--------------------------------------------------------------------------------
87
-- Entity Declaration
88
--------------------------------------------------------------------------------
89
ENTITY DP_RAM_XILINX_256_exdes IS
90
  PORT (
91
      --Inputs - Port A
92
 
93
    WEA            : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
94
    ADDRA          : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
95
 
96
    DINA           : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
97
 
98
    CLKA       : IN STD_LOGIC;
99
 
100
 
101
      --Inputs - Port B
102
    ENB            : IN STD_LOGIC;  --opt port
103
    ADDRB          : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
104
    DOUTB          : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
105
    CLKB           : IN STD_LOGIC
106
 
107
  );
108
 
109
END DP_RAM_XILINX_256_exdes;
110
 
111
 
112
ARCHITECTURE xilinx OF DP_RAM_XILINX_256_exdes IS
113
 
114
  COMPONENT BUFG IS
115
  PORT (
116
     I      : IN STD_ULOGIC;
117
     O      : OUT STD_ULOGIC
118
  );
119
  END COMPONENT;
120
 
121
  COMPONENT DP_RAM_XILINX_256 IS
122
  PORT (
123
      --Port A
124
 
125
    WEA        : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
126
    ADDRA      : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
127
 
128
    DINA       : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
129
 
130
 
131
    CLKA       : IN STD_LOGIC;
132
 
133
 
134
      --Port B
135
    ENB        : IN STD_LOGIC;  --opt port
136
    ADDRB      : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
137
    DOUTB      : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
138
    CLKB       : IN STD_LOGIC
139
 
140
 
141
  );
142
  END COMPONENT;
143
 
144
  SIGNAL CLKA_buf     : STD_LOGIC;
145
  SIGNAL CLKB_buf     : STD_LOGIC;
146
  SIGNAL S_ACLK_buf   : STD_LOGIC;
147
 
148
BEGIN
149
 
150
  bufg_A : BUFG
151
    PORT MAP (
152
     I => CLKA,
153
     O => CLKA_buf
154
     );
155
 
156
  bufg_B : BUFG
157
    PORT MAP (
158
     I => CLKB,
159
     O => CLKB_buf
160
     );
161
 
162
 
163
  bmg0 : DP_RAM_XILINX_256
164
    PORT MAP (
165
      --Port A
166
 
167
      WEA        => WEA,
168
      ADDRA      => ADDRA,
169
 
170
      DINA       => DINA,
171
 
172
      CLKA       => CLKA_buf,
173
 
174
 
175
      --Port B
176
      ENB        => ENB,
177
      ADDRB      => ADDRB,
178
      DOUTB      => DOUTB,
179
      CLKB       => CLKB_buf
180
 
181
    );
182
 
183
END xilinx;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.